RE: [sv-bc] extending on port connection, continous assign

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Mar 03 2009 - 06:17:19 PST
A continuous assignment is still an assignment.
A unidirectional port connection is defined by the LRM as a continuous assignment, so zero-/sign-extension would also take place.

However, I have sometimes seen unconnected bits being Z, I guess where port collapsing was occurring.

Shalom

________________________________
From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On Behalf Of Daniel Mlynek
Sent: Tuesday, March 03, 2009 3:54 PM
To: sv-bc@server.eda.org
Subject: [sv-bc] extending on port connection, continous assign

For connection via ports and conitnous assignment where we have differenct sizes and source need to be extended in this cases sign extension rules takes place (same as for BA = and NBA <=)? Or unconnected bits remain Z? See below example

module buff (input wire [31:0] in1, output wire [31:0] out1);
 assign
  out1 = in1;

endmodule

module top;
  int in1;

 wire out1;
 wire [114:0] out2;
 wire [114:0] out3;

 buff uut1 (.in1(in1),.out1(out2));
 assign out3 = in1;
 initial begin
  in1 =-1;
 #1;
 $display("%b", out2);
 $display("%b", out3);
 end

endmodule


Results should be:

 zzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz11111111111111111111111111111111
 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
or
 zzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz11111111111111111111111111111111
 zzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz11111111111111111111111111111111
or
 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
or
0000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111
0000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111111111111

DANiel




--
This message has been scanned for viruses and
dangerous content by MailScanner<http://www.mailscanner.info/>, and is
believed to be clean.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Mar 3 06:25:35 2009

This archive was generated by hypermail 2.1.8 : Tue Mar 03 2009 - 06:28:28 PST