RE: [sv-bc] Is 'let' item allowed to be hierarchically referred?

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Jan 20 2009 - 07:24:58 PST
Just to supplement the clarification regarding tasks and functions:

13.3 (in Draft 8-prelim) has the following:

"task my_task (input a, b, inout c, output d, e);

..

The following statement calls the task:

initial
        my_task (v, w, x, y, z);

The task call arguments (v, w, x, y, and z) correspond to the arguments (a, b, c, d, and e) defined by the task. At the time of the call, the input and inout type arguments (a, b, and c) receive the values passed in v, w, and x. Thus, execution of the call effectively causes the following assignments:

a = v;
b = w;
c = x;

As part of the processing of the task, the task definition for my_task places the computed result values into c, d, and e. When the task completes, the following assignments to return the computed values to the calling process are performed:

x = c;
y = d;
z = e;"

These assignments clearly show that the subroutine formal arguments have existence.

Shalom

> It is certainly legal to refer to formals of non-automatic
> tasks and functions by hierarchical references.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jan 20 07:25:55 2009

This archive was generated by hypermail 2.1.8 : Tue Jan 20 2009 - 07:28:57 PST