[sv-bc] Hierarchical reference of covergroup formal argument

From: Surya Pratik Saha <spsaha_at_.....>
Date: Sun Jan 04 2009 - 21:43:34 PST
Hi,
Is it not allowed to refer covergroup formal argument hierarchically 
like task/function formal argument? For example:

module top;
covergroup cg ( input int x , int y, int c);
d: coverpoint x;
endgroup
initial begin:b
    cg c_g;
    c_g.y = 1; // is it not allowed
end
endmodule

I did not see anything mentioned in the LRM, though some of the standard 
simulators fail for that.

-- 
Regards
Surya




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Jan 4 21:44:36 2009

This archive was generated by hypermail 2.1.8 : Sun Jan 04 2009 - 21:45:05 PST