Re: [sv-bc] Is 'let' item allowed to be hierarchically referred?

From: Surya Pratik Saha <spsaha_at_.....>
Date: Wed Dec 17 2008 - 05:40:40 PST
Hi Yulik,
I think Dmitry wants to differentiate between 'objects declared inside 
task/function' and 'formal arguments inside task/function'. It is true, 
LRM does not mention anything on formal argument hierarchical reference 
and does not explicitly mention formal arguments are similar to objects 
declared inside task/function.

Dmitry, please correct me if I am wrong.

Regards
Surya



-------- Original Message  --------
Subject: Re:[sv-bc] Is 'let' item allowed to be hierarchically referred?
From: Feldman, Yulik <yulik.feldman@intel.com>
To: Korchemny, Dmitry <dmitry.korchemny@intel.com>, Surya Pratik Saha 
<spsaha@cal.interrasystems.com>
Cc: "sv-bc@eda.org" <sv-bc@eda.org>
Date: Wednesday, December 17, 2008 7:00:27 PM
> Dmitry/Surya,
>
> It seems that you both didn't read the replies of each other carefully enough. First, Surya said that referring to arguments of *non*-automatic functions is allowed. Then Dmitry gave a reference to LRM sentence telling that references to arguments of *automatic* functions is not allowed. This is not contradicting what Surya said. Then, Surya gave an example of *non*-automatic function that works (by default, functions are static). Which is also not contradicting the sentence Dmitry referred to.
>
> --Yulik.
>
> -----Original Message-----
> From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On Behalf Of Korchemny, Dmitry
> Sent: Wednesday, December 17, 2008 3:15 PM
> To: Surya Pratik Saha
> Cc: sv-bc@eda.org
> Subject: RE: [sv-bc] Is 'let' item allowed to be hierarchically referred?
>
> Good to know.
>
> Thanks,
> Dmitry
>
> -----Original Message-----
> From: Surya Pratik Saha [mailto:spsaha@cal.interrasystems.com]
> Sent: Wednesday, December 17, 2008 2:53 PM
> To: Korchemny, Dmitry
> Cc: sv-bc@eda.org
> Subject: Re: [sv-bc] Is 'let' item allowed to be hierarchically referred?
>
> Hi Dmitry,
> If we change the initial block as:
> initial begin
>     b = f.x;
>     $display("%b", b);
>     c = f(1);
>     b = f.x;
>     $display("%b", b);
> end
>
> All simulators gives value 'x' for first $display and then '1' for next one.
>
> Regards
> Surya
>
>
>
> -------- Original Message  --------
> Subject: Re:[sv-bc] Is 'let' item allowed to be hierarchically referred?
> From: Korchemny, Dmitry <dmitry.korchemny@intel.com>
> To: Surya Pratik Saha <spsaha@cal.interrasystems.com>
> Cc: "sv-bc@eda.org" <sv-bc@eda.org>
> Date: Wednesday, December 17, 2008 6:07:34 PM
>   
>> Hi Surya,
>>
>> This looks strange to me. What value will be assigned to b in your case?
>>
>> Dmitry
>>
>> -----Original Message-----
>> From: Surya Pratik Saha [mailto:spsaha@cal.interrasystems.com]
>> Sent: Wednesday, December 17, 2008 2:34 PM
>> To: Korchemny, Dmitry
>> Cc: sv-bc@eda.org
>> Subject: Re: [sv-bc] Is 'let' item allowed to be hierarchically referred?
>>
>> Hi Dmitry,
>> But I did not see any tool fails too with the case. Consider the case:
>> module top;
>> function integer f;
>>     input x;
>>     f = 1;
>> endfunction
>> integer b;
>> initial begin
>>     b = f.x;
>> end
>> endmodule
>>
>> All standard simulators including Verilog-XL pass the case. Also LRM
>> mentions default data type of task/function formals is logic.
>>
>> Regards
>> Surya
>>
>>
>>
>> -------- Original Message  --------
>> Subject: Re:[sv-bc] Is 'let' item allowed to be hierarchically referred?
>> From: Korchemny, Dmitry <dmitry.korchemny@intel.com>
>> To: Surya Pratik Saha <spsaha@cal.interrasystems.com>
>> Cc: "sv-bc@eda.org" <sv-bc@eda.org>
>> Date: Wednesday, December 17, 2008 5:50:20 PM
>>
>>     
>>> Hi Surya,
>>>
>>> Are you talking about accessing to function formals using hierarchical names from the internal function scope? In the LRM 23.6 It is written: "Objects declared in automatic tasks and functions are exceptions and cannot be accessed by hierarchical name references." But where is it written about accessing function formals using hierarchical names?
>>>
>>> Thanks,
>>> Dmitry
>>>
>>> -----Original Message-----
>>> From: Surya Pratik Saha [mailto:spsaha@cal.interrasystems.com]
>>> Sent: Wednesday, December 17, 2008 2:10 PM
>>> To: Korchemny, Dmitry
>>> Cc: sv-bc@eda.org
>>> Subject: Re: [sv-bc] Is 'let' item allowed to be hierarchically referred?
>>>
>>> Hi Dmitry,
>>> I know sequence/property item is not allowed, but for function it is
>>> allowed unless function is automatic. For e.g.
>>>
>>> function int f(bit x);
>>> ...
>>> endfunction
>>>
>>> f.x is allowed by LRM.
>>>
>>> Regards
>>> Surya
>>>
>>>
>>>
>>> -------- Original Message  --------
>>> Subject: Re:[sv-bc] Is 'let' item allowed to be hierarchically referred?
>>> From: Korchemny, Dmitry <dmitry.korchemny@intel.com>
>>> To: Surya Pratik Saha <spsaha@cal.interrasystems.com>
>>> Cc: "sv-bc@eda.org" <sv-bc@eda.org>
>>> Date: Wednesday, December 17, 2008 5:24:40 PM
>>>
>>>
>>>       
>>>> Hi Surya,
>>>>
>>>> No, I don't think so. You cannot refer to let formals externally. The same thing with sequences, properties, functions, etc. What would be the semantics of this reference? One let may have multiple instances, so there is no way to resolve the right one.
>>>>
>>>>
>>>>         
>>>> Regards,
>>>> Dmitry
>>>>
>>>> -----Original Message-----
>>>> From: Surya Pratik Saha [mailto:spsaha@cal.interrasystems.com]
>>>> Sent: Wednesday, December 17, 2008 1:09 PM
>>>> To: Korchemny, Dmitry
>>>> Cc: sv-bc@eda.org
>>>> Subject: Re: [sv-bc] Is 'let' item allowed to be hierarchically referred?
>>>>
>>>> Hi Dmitry,
>>>> I want mean an 'item' as the 'argument'. For e.g.
>>>> let ones_match(bits x, y) = x == y;
>>>>
>>>> Here I want to mean 'x' or 'y'. Is it allowed to refer them as
>>>> 'ones_match.x' or 'ones_match.y'?
>>>> Sorry for the confusion.
>>>>
>>>> Regards
>>>> Surya
>>>>
>>>>
>>>>
>>>> -------- Original Message  --------
>>>> Subject: Re:[sv-bc] Is 'let' item allowed to be hierarchically referred?
>>>> From: Korchemny, Dmitry <dmitry.korchemny@intel.com>
>>>> To: Surya Pratik Saha <spsaha@cal.interrasystems.com>, sv-bc@eda.org
>>>> <sv-bc@eda.org>
>>>> Date: Wednesday, December 17, 2008 4:32:05 PM
>>>>
>>>>
>>>>
>>>>         
>>>>> Hi Surya,
>>>>>
>>>>> But no item can be declared inside let.
>>>>>
>>>>> Dmitry
>>>>>
>>>>> -----Original Message-----
>>>>> From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On Behalf Of Surya Pratik Saha
>>>>> Sent: Wednesday, December 17, 2008 12:53 PM
>>>>> To: sv-bc@eda.org
>>>>> Subject: [sv-bc] Is 'let' item allowed to be hierarchically referred?
>>>>>
>>>>> Hi,
>>>>> As per 1800-2009 draft LRM, a let expression can not be hierarchically
>>>>> referred, but is any item (typed or untyped) declared inside 'let'
>>>>> construct allowed to be hierarchically referred? I think it should not
>>>>> be, but I did not see anything mentioned in the LRM.
>>>>>
>>>>> --
>>>>> Regards
>>>>> Surya
>>>>>
>>>>>
>>>>>
>>>>>
>>>>> --
>>>>> This message has been scanned for viruses and
>>>>> dangerous content by MailScanner, and is
>>>>> believed to be clean.
>>>>>
>>>>>
>>>>> --
>>>>> This email was Anti Virus checked by Astaro Security Gateway. http://www.astaro.com
>>>>> ---------------------------------------------------------------------
>>>>> Intel Israel (74) Limited
>>>>>
>>>>> This e-mail and any attachments may contain confidential material for
>>>>> the sole use of the intended recipient(s). Any review or distribution
>>>>> by others is strictly prohibited. If you are not the intended
>>>>> recipient, please contact the sender and delete all copies.
>>>>>
>>>>>
>>>>>
>>>>>
>>>>>
>>>>>
>>>>>
>>>>>
>>>>>           
>>>> ---------------------------------------------------------------------
>>>> Intel Israel (74) Limited
>>>>
>>>> This e-mail and any attachments may contain confidential material for
>>>> the sole use of the intended recipient(s). Any review or distribution
>>>> by others is strictly prohibited. If you are not the intended
>>>> recipient, please contact the sender and delete all copies.
>>>>
>>>>
>>>>
>>>>
>>>>
>>>>
>>>>
>>>>         
>>> ---------------------------------------------------------------------
>>> Intel Israel (74) Limited
>>>
>>> This e-mail and any attachments may contain confidential material for
>>> the sole use of the intended recipient(s). Any review or distribution
>>> by others is strictly prohibited. If you are not the intended
>>> recipient, please contact the sender and delete all copies.
>>>
>>>
>>>
>>>
>>>
>>>
>>>       
>>
>> ---------------------------------------------------------------------
>> Intel Israel (74) Limited
>>
>> This e-mail and any attachments may contain confidential material for
>> the sole use of the intended recipient(s). Any review or distribution
>> by others is strictly prohibited. If you are not the intended
>> recipient, please contact the sender and delete all copies.
>>
>>
>>
>>
>>
>>     
>
>
>
> ---------------------------------------------------------------------Intel Israel (74) Limited
> This e-mail and any attachments may contain confidential material forthe sole use of the intended recipient(s). Any review or distributionby others is strictly prohibited. If you are not the intendedrecipient, please contact the sender and delete all copies.
> -- This message has been scanned for viruses anddangerous content by MailScanner, and isbelieved to be clean.
>
> ---------------------------------------------------------------------Intel Israel (74) Limited
> This e-mail and any attachments may contain confidential material forthe sole use of the intended recipient(s). Any review or distributionby others is strictly prohibited. If you are not the intendedrecipient, please contact the sender and delete all copies.
> -- This message has been scanned for viruses anddangerous content by MailScanner, and isbelieved to be clean.
>
>
>
>
>   




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Dec 17 05:41:59 2008

This archive was generated by hypermail 2.1.8 : Wed Dec 17 2008 - 05:42:12 PST