Re: [sv-bc] RE: functional if statement

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Wed Dec 10 2008 - 16:34:50 PST
Cliff,

> Unfortunately, we can't even get synthesis tools to choke when an always_comb block
> infers a latch (it is just a warning the last time I tested this).

I'm not aware of any customer asking for this to be an error.  Designers know to treat all warnings seriously before moving on, but they don't want tools forcing them to do this early.  First things first.

-- Brad



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Dec 10 16:36:02 2008

This archive was generated by hypermail 2.1.8 : Wed Dec 10 2008 - 16:36:38 PST