[sv-bc] Access using external names for unnamed generate blocks

From: Sarani Roy <sarani_at_.....>
Date: Wed Dec 10 2008 - 01:55:20 PST
Hi,

I have a query  regarding External names for unnamed generate blocks .
According to LRM IEEE Std 1364-2005 section 12.4.1 Loop generate constructs

"If the generate block is not named, the declarations within it cannot 
be referenced
using hierarchical names other than from within the hierarchy 
instantiated by the
generate block itself."

Is the testcase given below valid ?

module top(input clk);
integer dummy;
genvar i;
generate
 for(i=0; i<2; i=i+1) begin
   localparam tmp = 2;
 end
 wire [3:0] tmp2 ;
 assign tmp2 = genblk1[1].tmp;  // is this hierarchical reference valid
endgenerate

always @(clk)
 dummy = genblk1[1].tmp; // is this hierarchical reference valid

endmodule

Some standard tools are passing while others are giving the following 
error :
           "Implicit name not allowed in hierarchical name, 'genblk1[1]' "

Thanks,
Sarani



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Dec 10 02:05:29 2008

This archive was generated by hypermail 2.1.8 : Wed Dec 10 2008 - 02:06:16 PST