Re: [sv-bc] fork-join_any with formal arguments

From: Surya Pratik Saha <spsaha_at_.....>
Date: Thu Dec 04 2008 - 20:48:08 PST
Hi Steven,
Then I think same restriction to be applied on a normal automatic 
variable too. Why then automatic variable is relaxed, but 'ref' variable 
is restricted?

Regards
Surya



-------- Original Message  --------
Subject: Re:[sv-bc] fork-join_any with formal arguments
From: Steven Sharp <sharp@cadence.com>
To: sv-bc@eda.org, spsaha@cal.interrasystems.com
Date: Thursday, December 04, 2008 11:02:29 PM
>> From: Surya Pratik Saha <spsaha@cal.interrasystems.com>
>>     
>
>   
>> I need to understand how a 'ref' variable is referred inside for-join_any.
>> I can think of only via the hierarchical reference it is possible, but
>> hierarchical reference is anyway not possible on 'ref' variable. Can anyone
>> give one example for that on that restriction?
>>     
>
> It does not require a hierarchical reference if the fork-join_any is inside
> the task/function with the ref argument.  For example
>
> task automatic t(input int i, ref int j);
>   fork
>     #10 j = i;  // illegal reference to ref argument j inside fork-join_any
>   join_any
> endtask
>
> Steven Sharp
> sharp@cadence.com
>
>
>   




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Dec 4 20:49:26 2008

This archive was generated by hypermail 2.1.8 : Thu Dec 04 2008 - 20:50:13 PST