Re: [sv-bc] Modport expression examples

From: <jonathan.bromley_at_.....>
Date: Mon Dec 01 2008 - 06:16:51 PST
Shalom

I think perhaps we both spoke in too much haste.

A modport expression is _not_ the same as an 
explicit port declaration, despite the fact that 
the modport is at least in some sense a description 
of part of a module's port list as I said.

Given

  interface I();
    ...
    modport M(input .P(E));
    ...

the modport expression .P(E) says "when a module
connects its port "portname" to this modport in 
some instance of interface I, the module's item 
portname.P should be connected not to the internal 
object P of the connected interface instance, but 
instead to the expression E in that instance". 
It is perfectly sensible for a module's input 
port to be connected either to a const int or 
to the literal '2', surely.  I think the examples
are OK.

_Eppur, si muove_ ... despite this retraction,
my frustration with the sloppy definition and poor
usability of interfaces and modports remains
undiminished.

-- 
Jonathan Bromley
Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, 
UK
Tel: +44 (0)1425 471223                   Email: 
jonathan.bromley@doulos.com
Fax: +44 (0)1425 471573                           Web: 
http://www.doulos.com

This message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Dec 1 06:18:39 2008

This archive was generated by hypermail 2.1.8 : Mon Dec 01 2008 - 06:19:24 PST