Re: [sv-bc] time unit specification

From: Greg Jaxon <Greg.Jaxon_at_.....>
Date: Fri Nov 21 2008 - 08:53:00 PST
Bresticker, Shalom wrote:
> That reminds me that something undefined in the single compilation-unit model
> is what is the status of library files and directories with respect to
> compiler directives. For example, if a compiler directive such as `timescale
> appears in a library file, does this timescale affect other files?
> Under what conditions?
> 
> Shalom

Shalom,

   The nature of a "compiler directive" is that its first order effect is
achieved by the compiler that examines the source that contains the directive.
In the case of timescale there seems to be a second, persistent attribute of
(separately) compiled modules that combines with other parts of the model at
link-time. I feel this is a separate issue from the directive, which may
be just one means of affecting components' attributes.

  Coming from synthesis, I have no opinion about how to combine
timescales specifically.  But I can note that the rule for combining
settings or defaults during linkage could be either "global" or "incremental".
Choosing a global rule (meaning that one value emerges from consideration of
all linked components) puts dynamic linkages at a disadvantage.  Choosing
an incrementally defined rule leaves outcomes dependent on component ordering.
In the latter case, it could even drive library or directory selection.

If timescales require global agreement (at least among components defined
using non-zero delays), and many components are willing to accept any
system-wide value, then it makes sense to defer the choice until the first
delay (or whichever simulation point first needs a specific timescale value).
As I said, I'm not savvy about how simulators implement timescale.  Does
the very act of linking modules require knowing a specific timescale?

Greg




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Nov 21 08:53:59 2008

This archive was generated by hypermail 2.1.8 : Fri Nov 21 2008 - 08:54:34 PST