[sv-bc] Is compiler directive part of `define

From: Surya Pratik Saha <spsaha_at_.....>
Date: Tue Sep 16 2008 - 20:58:09 PDT
Hi,
As per LRM, anything after `define is part of that macro until a new line is encountered. But I am getting a strange behaviour from a particular standard simulator. Consider the following e.g.:

`ifdef A `define M module test; endmodule `endif
`endif

`ifdef B
`M

module top;
endmodule


If I run with +defined+A+B, all the standard simulators consider `endif as part of macro 'M' except one, which is actually ending the '`ifdef A' with that `endif which is in the same line of macro 'M', and hence giving error for the next `endif which is actually ending '`ifdef A'. Though that simulator considers `endif as part of another macro which is independently declared (not by `ifdef). What should be the ideal behaviour. Is that simulator having bug?
-- 
Regards
Surya

--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean. Received on Tue Sep 16 20:59:08 2008

This archive was generated by hypermail 2.1.8 : Tue Sep 16 2008 - 21:00:49 PDT