[sv-bc] Nested class constraint declaration

From: Surya Pratik Saha <spsaha_at_.....>
Date: Mon Jul 21 2008 - 05:34:12 PDT
Hi,
As per SV 1800-2005 LRM, extern class constraint declaration is allowed 
outside the class scope. But what will happen for nested class. LRM does 
not give provision for that. Please let me know whether the following 
case is valid or not?

module top;
    class D;
        class C;
            constraint tc3;
        endclass
        rand int x;
        constraint C::tc3 {solve x before x;}
    endclass
endmodule

-- 
Regards
Surya




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jul 21 05:36:53 2008

This archive was generated by hypermail 2.1.8 : Mon Jul 21 2008 - 05:37:05 PDT