RE: [sv-bc] BNF for packed arrays of enums

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Thu Jul 17 2008 - 09:49:59 PDT
Shalom,

 

A typedef can be used to achieve that functionality, and in my opinion
is preferable coding style.

 

n  Brad

 

From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Bresticker, Shalom
Sent: Thursday, July 17, 2008 3:59 AM
To: sv-bc@eda-stds.org
Subject: [sv-bc] BNF for packed arrays of enums

 

When we allowed enums as base types of packed arrays, we forgot to
change the BNF as needed. In the data_type production, in the line

enum [ enum_base_type ] { enum_name_declaration { ,
enum_name_declaration } }

we need to add { packed_dimension } at the end.

The change needs to be made in
Syntax 6-2
Syntax 6-4
Syntax 13-2
A.2.2.1 

I put this in Mantis 2448.

Shalom

Shalom Bresticker

Intel Jerusalem LAD DA

+972 2 589-6582

+972 54 721-1033

---------------------------------------------------------------------
Intel Israel (74) Limited
 
This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and 
dangerous content by MailScanner <http://www.mailscanner.info/> , and is

believed to be clean. 


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Jul 17 09:52:43 2008

This archive was generated by hypermail 2.1.8 : Thu Jul 17 2008 - 09:53:20 PDT