[sv-bc] Agenda: June 23 SV-BC Meeting

From: Maidment, Matthew R <matthew.r.maidment_at_.....>
Date: Mon Jun 23 2008 - 00:36:58 PDT
SV-BC Meeting
Date: Monday, June 23, 2008
Time: 9:00am-11:00am PDT

Toll Free Dial In Number: 1-866-675-1568
International Access/Caller Paid Dial In Number: 1-281-540-4972
PARTICIPANT CODE: 2125837662

Agenda

+ Review IEEE patent policy
  http://standards.ieee.org/board/pat/pat-slideset.ppt
 
+ Review Minutes of Previous Meetings
  http://www.eda-stds.org/sv-bc/minutes/sv-bc_08_06_02.txt

+ Draft 6 
  Release announcement was sent to the mailing list:
  http://www.eda.org/sv-bc/hm/8756.html

  Completed issues need to be reviewed and closed.

+ Meet again or continue to June 30
  
  At end of meeting, evaluate need for additional meeting time.

+ Issues

  2360 (http://www.eda.org/svdb/view.php?id=2360)
   E-mail vote passed, but Shalom proposed friendly amendments:

   1. The subclause numbers have to be updated to Draft 5. The
second-level
   subclause numbers have increased by one, due to the addition on 33.1.

   So for example, 33.4.11.1 becomes 33.5.11.1.

   2. The proposal refers to "cipher-block chaining" whereas Table 33-3 
   refers to "cbc" and "CBC mode". The first reference to "cipher-block 
   chaining" in the text should add "(CBC)" in order to connect the two 
   forms.

   and Brad commented:
   
   "I wonder if the following proposed addition is really necessary in
an LRM

   'Note that encrypting keys with a symmetric cipher is not a common 
    use case.'"

   Need to resolve these comments.

  1000 (http://www.eda.org/svdb/view.php?id=1000)
   Any feedback on the impact of this change?

  2127 (http://www.eda.org/svdb/view.php?id=2127)
   Proposal to close as duplicate of 
   1062 (http://www.eda.org/svdb/view.php?id=1062)

  1076 (http://www.eda-stds.org/svdb/view.php?id=1076)
   Proposal to close as resolved by merger of 1364 & 1800

    
  2099 (http://www.eda.org/svdb/view.php?id=2099)
   Continue to review.  Next Questions:


   D-2. D.12: does "vector" need to be changed to allow for other SV
types?

   D-3. D.13: can the SV string type also be used?

   I-1. I.9.1.3: should this be changed to "P1800-2008"?

   I-2. I.12: Should this deprecated subclause be moved to, or
referenced in,
        Annex C?

  2072 (http://www.eda.org/svdb/view.php?id=2072)
   Merge Issue

  2294 (http://www.eda.org/svdb/view.php?id=2294)
   Clarification required

+ Follow-up to assignment pattern thread

  Discussion of default: and type: assignment patterns starts here:
 
    http://www.eda.org/sv-bc/hm/8538.html
  
  Review Greg's interpretation:

    http://www.eda.org/sv-bc/hm/8541.html


Action Items

Completed
06/02/2008 Matt add Mantis 1000 to June 23, 2008 agenda
06/02/2008 Matt upload original proposal for 2374 as v3. 

Pending
01/09/2006 Shalom file new issure related to SVDB 1254 and include
example
03/27/2006 Cliff post examples demonstrating the need for 0-1 wildcard 
           to justify further action on SVDB 99

           Other ideas: elsex, defaultx, ifx, alwaysx, initialx,
           Question: what about X expression in index of Vector or
           array expressions (a[i] where i === 'X).  Cliff to pursue
further
           action.
04/10/2006 Steven to create proposal for SVDB 1386
04/10/2006 Steven to create proposal for SVDB 1078
06/19/2006 Francoise check with SV-CC regariding suitability of data
read API
           to address debugging of "dynamic data" in lieu of vcd
extensions.
07/10/2006 Steve add bugnote to 1043 about specifics for resolution
07/31/2006 Steve add bug note to 1481 with details for a clearer
proposal
09/25/2006 Matt add SVDB entry to request capability to packages: 
           import/export & non-exported (local) package declarations.
10/23/2006 Matt send example of use of forward typedef.
12/04/2006 Shalom present compelling example of `begin_define --
`end_define
12/18/2006 Cliff open Mantis item about 'predefault' with compelling
examples
01/08/2007 Vendors to describe interpretation of a path when there are
           multiple drivers involved and share test cases on reflector.
03/05/2007 ALL look at SV-AC items and send Matt a note if you require
more
           information to address them.  Matt will work with SV-AC to
get
           additional information. 
04/26/2007 All: Revisit concept of memory in Draft 3

           Affects PLI backward compatibility and readmem system tasks.
           After some discussion, ambivalence gave way to agreement that
           arrays and memories as covered in 7.4 need to be revisited 
           for clarification.  SVDB 1362 covers this issue. Dave will
           start working on it.

06/11/2007 Mark attempt to define 'variation' to aid resolution of SVDB
1850
06/25/2007 Gord add new SVDB entry and proposal to address expression
sizing
           issues for literals of unspecified widths.
06/25/2007 Steve look into ability to use 1800 types with old-style port
decls
08/06/2007 Steven to record concerns about issues related to issue 1935.
10/01/2007 Shalom to update SVDB 1747 based on feedback.
12/03/2007 Review editorial issues submitted by Shalom
03/03/2008 SV-BC review Draft 5 to ensure SVDB 2008's "violation report"

           added correctly
03/03/2008 Matt send mail on outcome of vote on SVDB 2089
03/17/2008 Steven open a new mantis item to request specification of
what
           happens to queued violation reports when process is disabled

04/14/2008 Tom create a new Mantis item regarindg 7.4.3, to clarify
exactly 
           which array types are covered by these capabilities.  Strings
are
           not included.  SVDB 1447 touches on this.
04/14/2008 Matt send e-mail to close on the items in 2099
04/28/2008 Matt create Mantis item suggesting text identified in
question 
           11-2 be deleted or integrated elsewhere.
04/28/2008 Matt file Mantis to add cross-reference to 6.21 to 10.6.1
04/28/2008 Matt 10.6.1 should be extended to include member selects per 
           question Mantis 2099, 10-2.  File Mantis.
04/28/2008 Matt file Mantis to add cross-reference to 6.21 to 10.6.2
04/28/2008 Matt 10.6.2 should be extended per question 10-3. File
Mantis.
04/28/2008 Matt file Mantis item for dist operator to be included in
11.4
           and notify EC
04/28/2008 Matt create Mantis item suggesting text identified in
question 
           11-2 of Mantis 2099 be deleted or integrated elsewhere.
04/28/2008 Matt add reference to 2099 and bug note regarding table
11-23/
           editor's note and table 11-1 (list of operators) to Mantis
1805.
04/28/2008 Create mantis item to consider other contexts for locality of

           constant functions, per question 13-1 in Mantis 2099.
04/28/2008 Create Mantis for 2099, 16-1 and assign to AC
05/12/2008 Matt open a new Mantis item to identify what minimally
composes a 
           design as stated in 22.3.1.
06/02/2008 Matt file Mantis item for question 22-2 of Mantis 2099 and
include
           last paragraph of 6.7.
06/02/2008 Don to file new Mantis item for question 32-1 in Mantis 2099
and 
           bring a proposal to the meeting.
06/02/2008 Matt file a mantis item for question D-1 in Mantis 2099.  

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jun 23 00:37:35 2008

This archive was generated by hypermail 2.1.8 : Mon Jun 23 2008 - 00:39:16 PDT