[sv-bc] nested interfaces & modports

From: Daniel Mlynek <daniel.mlynek_at_.....>
Date: Thu Jun 12 2008 - 06:52:31 PDT
LRM allows to have nested interfaces but there is no way for making such
nested interface visble through modport. Maybe there should be way to
specify interface on modport (as proposed in commented out text)
 
 
interface iface;

  interface n_iface;
        wire w;
    endinterface
wire x;
//    modport slave (interface n_iface , input x);
    modport slave (input x);
endinterface
 
module sub( iface.slave if_);
    assign if_.n_iface.w=1;//cannot be reached through interface
endmodule
 
module top;
        iface if1();
        sub uut(if1);
endmodule

 
 
 
DANiel
 

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Jun 12 06:54:03 2008

This archive was generated by hypermail 2.1.8 : Thu Jun 12 2008 - 06:54:47 PDT