[sv-bc] Query related with clocking block declared inside program block.

From: Dhiraj Kumar Prasad <dhiraj_at_.....>
Date: Tue Jun 03 2008 - 22:27:16 PDT
Hi,

I have a query related with the clocking block declaration in program block.
Please see the example below.

module top(input in1 );
program pblk1 (input sclk);
    clocking c_s @(posedge sclk);
        output #1ns outp ;
    endclocking
    initial
        c_s.outp = #1ns in1 + 2;  //should it be  allowed??
endprogram
pblk1 I1 (in1);
endmodule

Now to drive the clocking block output, we have to use synchronous 
drive(<=/non-blocking) but
according to LRM,P1800-2005,section 16.2,program block variable should 
be assign through
blocking assignment so what should be the status of above testcase??  
should it be positive??

Thanks,
Dhiraj
 




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jun 3 22:35:06 2008

This archive was generated by hypermail 2.1.8 : Tue Jun 03 2008 - 22:36:19 PDT