RE: [sv-bc] Agenda: May 12 SV-BC Meeting

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Thu May 08 2008 - 23:50:10 PDT
We should also review 1806. See bug note from April 5.

Thanks,
Shalom 

> -----Original Message-----
> From: owner-sv-bc@server.eda.org 
> [mailto:owner-sv-bc@server.eda.org] On Behalf Of Maidment, Matthew R
> Sent: Friday, May 09, 2008 9:42 AM
> To: sv-bc@server.eda.org
> Subject: [sv-bc] Agenda: May 12 SV-BC Meeting
> 
> SV-BC Meeting
> Date: Monday, May 12, 2008
> Time: 9:00am-11:00am PDT
> 
> Toll Free Dial In Number: 1-866-675-1568 International 
> Access/Caller Paid Dial In Number: 1-281-540-4972 PARTICIPANT 
> CODE: 2125837662
> 
> Agenda
> 
> + Review IEEE patent policy
>   http://standards.ieee.org/board/pat/pat-slideset.ppt
>  
> + Review Minutes of Previous Meetings
>   http://www.eda-stds.org/sv-bc/minutes/sv-bc_08_04_28.txt
> 
> + Issues
> 
>   
>   New
>   2360 (http://www.eda.org/svdb/view.php?id=2360)
>   2374 (http://www.eda.org/svdb/view.php?id=2374)
> 
>   Feedback
>   2173 (http://www.eda.org/svdb/view.php?id=2173)
>   2008 (http://www.eda.org/svdb/view.php?id=2008)
>    697 (http://www.eda.org/svdb/view.php?id=697)
>    678 (http://www.eda.org/svdb/view.php?id=678)
>    676 (http://www.eda.org/svdb/view.php?id=676)
> 
> 
>   2099 (http://www.eda.org/svdb/view.php?id=2099)
>    Continue to review.  Next Questions:
> 
>    20-2. 20.3.7: Is string type legal? 
> 
>    21-1. 21.4: What is this "unchanged behavior"? I could not 
> find it in 1364.
> 
>    22-1. 22.3.1: Is this last sentence still true in SV (i.e. 
> can it be one 
>          interface or one program)? 
>  
>    22-2. 22.3.3.3: Is 'z correct for all net type (e.g. tri1)? 
> 
>    24-1. 24.9: Shouldn't this new example have an intro paragraph and 
>     explanation? (Update for Draft 4: This question is filed 
> as 0001742,
> 
>     but is not resolved)
> 
>    26-1. 26.3, Syntax 26-1: The 1364-2005 BNF was organized 
> differently
>     than 1800's. The BC committee needs to verify that I 
> copied the right
>     productions.
> 
>    28-1. 28.3.2: Can "logic" also be used? 
> 
> 
>   2072 (http://www.eda.org/svdb/view.php?id=2072)
>    Merge Issue
> 
>   2294 (http://www.eda.org/svdb/view.php?id=2294)
>    Clarification required
> 
> + Follow-up to assignment pattern thread
> 
>   Discussion of default: and type: assignment patterns starts here:
>  
>     http://www.eda.org/sv-bc/hm/8538.html
>   
>   Review Greg's interpretation:
> 
>     http://www.eda.org/sv-bc/hm/8541.html
> 
> 
> Action Items
> 
> Completed
> 
> Pending
> 01/09/2006 Shalom file new issure related to SVDB 1254 and 
> include example
> 03/27/2006 Cliff post examples demonstrating the need for 0-1 
> wildcard 
>            to justify further action on SVDB 99
> 
>            Other ideas: elsex, defaultx, ifx, alwaysx, initialx,
>            Question: what about X expression in index of Vector or
>            array expressions (a[i] where i === 'X).  Cliff to 
> pursue further
>            action.
> 04/10/2006 Steven to create proposal for SVDB 1386
> 04/10/2006 Steven to create proposal for SVDB 1078
> 06/19/2006 Francoise check with SV-CC regariding suitability 
> of data read API
>            to address debugging of "dynamic data" in lieu of 
> vcd extensions.
> 07/10/2006 Steve add bugnote to 1043 about specifics for resolution
> 07/31/2006 Steve add bug note to 1481 with details for a 
> clearer proposal
> 09/25/2006 Matt add SVDB entry to request capability to packages: 
>            import/export & non-exported (local) package declarations.
> 10/23/2006 Matt send example of use of forward typedef.
> 12/04/2006 Shalom present compelling example of `begin_define 
> -- `end_define
> 12/18/2006 Cliff open Mantis item about 'predefault' with 
> compelling examples
> 01/08/2007 Vendors to describe interpretation of a path when there are
>            multiple drivers involved and share test cases on 
> reflector.
> 03/05/2007 ALL look at SV-AC items and send Matt a note if 
> you require more
>            information to address them.  Matt will work with 
> SV-AC to get
>            additional information. 
> 04/26/2007 All: Revisit concept of memory in Draft 3
> 
>            Affects PLI backward compatibility and readmem 
> system tasks.
>            After some discussion, ambivalence gave way to 
> agreement that
>            arrays and memories as covered in 7.4 need to be revisited 
>            for clarification.  SVDB 1362 covers this issue. Dave will
>            start working on it.
> 
> 06/11/2007 Mark attempt to define 'variation' to aid 
> resolution of SVDB 1850
> 06/25/2007 Gord add new SVDB entry and proposal to address 
> expression sizing
>            issues for literals of unspecified widths.
> 06/25/2007 Steve look into ability to use 1800 types with 
> old-style port decls
> 08/06/2007 Steven to record concerns about issues related to 
> issue 1935.
> 10/01/2007 Shalom to update SVDB 1747 based on feedback.
> 12/03/2007 Review editorial issues submitted by Shalom
> 03/03/2008 SV-BC review Draft 5 to ensure SVDB 2008's 
> "violation report"
> 
>            added correctly
> 03/03/2008 Matt send mail on outcome of vote on SVDB 2089
> 03/17/2008 Steven open a new mantis item to request 
> specification of what
>            happens to queued violation reports when process 
> is disabled
> 
> 04/14/2008 Tom create a new Mantis item regarindg 7.4.3, to 
> clarify exactly 
>            which array types are covered by these 
> capabilities.  Strings are
>            not included.  SVDB 1447 touches on this.
> 04/14/2008 Matt send e-mail to close on the items in 2099
> 04/28/2008 Matt send some arbitrary split of Completed items 
> currently 
>            assigned to Shalom
> 04/28/2008 Tom to upload new proposal for 2008.
> 04/28/2008 Matt create Mantis item suggesting text identified 
> in question 
>            11-2 be deleted or integrated elsewhere.
> 04/28/2008 Matt file Mantis to add cross-reference to 6.21 to 10.6.1
> 04/28/2008 Matt 10.6.1 should be extended to include member 
> selects per 
>            question Mantis 2099, 10-2.  File Mantis.
> 04/28/2008 Matt file Mantis to add cross-reference to 6.21 to 10.6.2
> 04/28/2008 Matt 10.6.2 should be extended per question 10-3. 
> File Mantis.
> 04/28/2008 Matt ile Mantis item for dist operator to be 
> included in 11.4
>            and notify EC
> 04/28/2008 Matt create Mantis item suggesting text identified 
> in question 
>            11-2 of Mantis 2099 be deleted or integrated elsewhere.
> 04/28/2008 Matt add reference to 2099 and bug note regarding 
> table 11-23/
>            editor's note and table 11-1 (list of operators) 
> to Mantis 1805.
> 04/28/2008 Matt Create mantis item to consider other contexts 
> for locality of
>            constant functions.
> 04/28/2008 Create mantis item to consider other contexts for 
> locality of
> 
>            constant functions, per question 13-1 in Mantis 2099.
> 
> 04/28/2008 Create Mantis for 2099, 16-1 and assign to AC
> 04/28/2008 Matt move Mantis 331 to editor status with note 
> that "file_name"
>            should be filename in italics
> 
> --
> This message has been scanned for viruses and dangerous 
> content by MailScanner, and is believed to be clean.
> 
> 
> 
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu May 8 23:51:56 2008

This archive was generated by hypermail 2.1.8 : Thu May 08 2008 - 23:52:06 PDT