[sv-bc] Agenda: April 28 SV-BC Meeting (NOTE TIME CHANGE: 11am-1pm PDT)

From: Maidment, Matthew R <matthew.r.maidment_at_.....>
Date: Sun Apr 27 2008 - 23:46:39 PDT
SV-BC Meeting
Date: Monday, April 28, 2008
Time: 11:00am-1:00pm PDT

Toll Free Dial In Number: 1-866-675-1568
International Access/Caller Paid Dial In Number: 1-281-540-4972
PARTICIPANT CODE: 2125837662

Agenda

+ Review IEEE patent policy
  http://standards.ieee.org/board/pat/pat-slideset.ppt
 
+ Review Minutes of Previous Meetings
  http://www.eda-stds.org/sv-bc/minutes/sv-bc_08_04_14.txt

+ Operation Change to accommodate SV-SC
	
  With the SV running and several BC members participating, should we
  relax attendance or change agenda to accommodate those attending SC?

+ Draft 5 is Available

+ Issues

  2008 (http://www.eda.org/svdb/view.php?id=2008)
   Need to clarify issue per feedback.

  2235 (http://www.eda.org/svdb/view.php?id=2235)
   Vote on Gord's proposal.

  2373 (http://www.eda.org/svdb/view.php?id=2373)
   Spawned from 2099 (force/release of varialbles)
   
  2099 (http://www.eda.org/svdb/view.php?id=2099)
   Continue to review.  Left off with:

   10-2. 10.6.1: What about unpacked stucts, enums, classes, etc.?

   10-3. 10.6.2: What about unpacked stucts, enums, classes, etc. ?

   11-1. 11.2.1: Are all operators listed in table 11-1 after merging in
SV
     still legal in constant expressions?

   11-2. 11.5.2: This text comes directly from 1364-2005. There was no 
     matching subclause from 1800-2005 to merge in. Is new text needed
for
     SV array addressing ?

  2072 (http://www.eda.org/svdb/view.php?id=2072)
   Merge Issue

  2294 (http://www.eda.org/svdb/view.php?id=2294)
   Clarification required

+ Follow-up to assignment pattern thread

  Discussion of default: and type: assignment patterns starts here:
 
    http://www.eda.org/sv-bc/hm/8538.html
  
  Review Greg's interpretation:

    http://www.eda.org/sv-bc/hm/8541.html


Action Items

Completed
04/14/2008 Matt create Mantis item for change to 10.6.1.  (See SVDB
2373)

Pending
01/09/2006 Shalom file new issure related to SVDB 1254 and include
example
03/27/2006 Cliff post examples demonstrating the need for 0-1 wildcard 
           to justify further action on SVDB 99

           Other ideas: elsex, defaultx, ifx, alwaysx, initialx,
           Question: what about X expression in index of Vector or
           array expressions (a[i] where i === 'X).  Cliff to pursue
further
           action.
04/10/2006 Steven to create proposal for SVDB 1386
04/10/2006 Steven to create proposal for SVDB 1078
06/19/2006 Francoise check with SV-CC regariding suitability of data
read API
           to address debugging of "dynamic data" in lieu of vcd
extensions.
07/10/2006 Steve add bugnote to 1043 about specifics for resolution
07/31/2006 Steve add bug note to 1481 with details for a clearer
proposal
09/25/2006 Matt add SVDB entry to request capability to packages: 
           import/export & non-exported (local) package declarations.
10/23/2006 Matt send example of use of forward typedef.
12/04/2006 Shalom present compelling example of `begin_define --
`end_define
12/18/2006 Cliff open Mantis item about 'predefault' with compelling
examples
01/08/2007 Vendors to describe interpretation of a path when there are
           multiple drivers involved and share test cases on reflector.
03/05/2007 ALL look at SV-AC items and send Matt a note if you require
more
           information to address them.  Matt will work with SV-AC to
get
           additional information. 
04/26/2007 All: Revisit concept of memory in Draft 3

           Affects PLI backward compatibility and readmem system tasks.
           After some discussion, ambivalence gave way to agreement that
           arrays and memories as covered in 7.4 need to be revisited 
           for clarification.  SVDB 1362 covers this issue. Dave will
           start working on it.

06/11/2007 Mark attempt to define 'variation' to aid resolution of SVDB
1850
06/25/2007 Gord add new SVDB entry and proposal to address expression
sizing
           issues for literals of unspecified widths.
06/25/2007 Steve look into ability to use 1800 types with old-style port
decls
08/06/2007 Steven to record concerns about issues related to issue 1935.
10/01/2007 Shalom to update SVDB 1747 based on feedback.
12/03/2007 Review editorial issues submitted by Shalom
03/03/2008 SV-BC review Draft 5 to ensure SVDB 2008's "violation report"

           added correctly
03/03/2008 Matt send mail on outcome of vote on SVDB 2089
03/17/2008 Steven open a new mantis item to request specification of
what
           happens to queued violation reports when process is disabled


04/14/2008 Matt to add 2235 to e-mail ballot.
04/14/2008 Tom create a new Mantis item regarindg 7.4.3, to clarify
exactly 
           which array types are covered by these capabilities.  Strings
are
           not included.  SVDB 1447 touches on this.
04/14/2008 Matt send e-mail to close on the items in 2099


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Apr 27 23:47:22 2008

This archive was generated by hypermail 2.1.8 : Sun Apr 27 2008 - 23:48:05 PDT