RE: [sv-bc] "Assignment ... to a constant expression"

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Fri Apr 25 2008 - 16:37:21 PDT
I think it should use the scope resolution operator ::, as is already
done with static methods of classes --

    Colors c = Colors::first;

-- Brad

-----Original Message-----
From: Steven Sharp [mailto:sharp@cadence.com] 
Sent: Friday, April 25, 2008 4:33 PM
To: sharp@cadence.com; sv-bc@eda-stds.org; Brad.Pierce@synopsys.COM;
Dave_Rich@mentor.com
Subject: RE: [sv-bc] "Assignment ... to a constant expression"


>From: "Rich, Dave" <Dave_Rich@mentor.com>

>> It does point out a situation where the self-reference actually has a
>> useful purpose.
>[DR] Then why not allow 
>
>Colors c = Colors.first;
>
>instead, which avoids the circular reference issue.

If that were allowed, then I don't know of any other situations where
the self-reference is useful.  I would then be more strongly inclined
to say that the declared name is not visible until after the full
declaration, including the initializer.

Steven Sharp
sharp@cadence.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Apr 25 16:38:18 2008

This archive was generated by hypermail 2.1.8 : Fri Apr 25 2008 - 16:38:33 PDT