[sv-bc] RE: [sv-ec] svlog enum function usage

From: Mirek Forczek <mirekf_at_.....>
Date: Fri Apr 25 2008 - 02:04:16 PDT
But is the following statement valid:
 
typedef enum {red, yellow, blue, green} color;

color my_color;

 

initial

    my_color = color.first;

 
?
 
Mirek

  _____  

From: owner-sv-ec@server.eda.org [mailto:owner-sv-ec@server.eda.org] On
Behalf Of Brad Pierce
Sent: 24 kwietnia 2008 19:05
To: sv-ec@server.eda.org; sv-bc@server.eda.org; sv-ac@server.eda-stds.org
Subject: RE: [sv-ec] svlog enum function usage



I think an enum method can only be used with a variable, not with an
anonymous value returned by some expression.

 

n  Brad

 

From: owner-sv-ec@eda.org [mailto:owner-sv-ec@eda.org] On Behalf Of Kapil
Kaushik
Sent: Thursday, April 24, 2008 9:57 AM
To: sv-ec@eda.org; sv-bc@eda.org; sv-ac@eda-stds.org
Subject: [sv-ec] svlog enum function usage

 

Hi,

 

System Verilog provides some enum functions(section 4.10) like: next, prev,
last, first etc. for use. I have a doubt whether the following statement
(highlighted) is valid:

 

module test(input clk, input in, output out);

 

typedef enum {red, yellow, blue, green} color;

color my_color

 

always @(clk)

begin

 

my_color = blue;

my_color = my_color.prev.next.next

 

end

endmodule

 

i.e. are stacked up function calls valid? SVlog LRM does not mention
anything about this, so it would be great to have some feedback on this.

 

Thanks,

Kapil


-- 
This message has been scanned for viruses and 
dangerous content by  <http://www.mailscanner.info/> MailScanner, and is 
believed to be clean. 


-- 
This message has been scanned for viruses and 
dangerous content by  <http://www.mailscanner.info/> MailScanner, and is 
believed to be clean. 

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Apr 25 02:05:10 2008

This archive was generated by hypermail 2.1.8 : Fri Apr 25 2008 - 02:08:42 PDT