Re: [sv-bc] Querry regarding Interface

From: Greg Jaxon <Greg.Jaxon_at_.....>
Date: Thu Apr 03 2008 - 11:18:50 PDT
I think you answered your own question

Surya Pratik Saha wrote:

>    The main aim of interface [] is to encapsulate communication.

> [A]lways blocks or concurrent assignment statements inside interface
> [] realize logic [] in the interface [w]e could have written [] in the
> module instantiating the interface.

If you have to write the same logic in each client of the interface,
then it wasn't "encapsulated" very well, was it?

Greg


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Apr 3 11:20:22 2008

This archive was generated by hypermail 2.1.8 : Thu Apr 03 2008 - 11:21:12 PDT