[sv-bc] Size method for fixed-sized arrays?

From: Stuart Sutherland <stuart_at_.....>
Date: Thu Mar 27 2008 - 07:21:21 PDT
A question just came up in a class that I'm teaching as to why we have not
added a .size method to fixed size arrays.  The array locator and sorting
methods work with fixed size arrays, so there is precedence for fixed-array
methods.  Granted, we have a $size system function to does the job, but
adding a .size method would be more consistent with dynamic arrays, and more
intuitive.

Is there a reason we haven't done this?

Stu
~~~~~~~~~~~~~~~~~~~~~~~~~
Stuart Sutherland
stuart@sutherland-hdl.com
+1-503-692-0898



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Mar 27 07:23:14 2008

This archive was generated by hypermail 2.1.8 : Thu Mar 27 2008 - 07:24:09 PDT