RE: [sv-bc] Resend: E-mail Ballot: Respond by 8am PDT, Tuesday, March 25

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Mar 25 2008 - 06:17:46 PDT
Hi, 

> >SVDB 2269 ___Yes   _X_No
> >http://www.eda.org/svdb/view.php?id=2269
> 
> I have concerns with the added sentences saying "The array 
> elements shall be of type bit if the expression inside the 
> cast is 2-state, otherwise they shall be of type logic."  The 
> statement itself is fairly innocuous, especially since it is 
> so hard to observe whether a value in the 2-state value set 
> is bit or logic.
> But it may lead the reader to 
> misinterpretations about what it means for an expression to 
> be 2-state.
> Also, we are claiming that signed'() is equivalent to 
> $signed(), and I would maintain that the result of $signed() 
> is always a vector of logic, since there was nothing else in 
> 1364 where it originated.

I would maintain that since $signed() was not defined in 1364 for
2-state types (since there were not any), that 1800 is free to define
its result for 2-state types in any logical back-compatible way, just as
1800 has done for other operations from 1364.

But I agree that this implicitly defines that $signed() returns a
2-state result for a 2-state argument as well as explicitly defining
that for sign casting.

Regards,
Shalom
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Mar 25 06:30:14 2008

This archive was generated by hypermail 2.1.8 : Tue Mar 25 2008 - 06:32:31 PDT