[sv-bc] visiblity of imported package function

From: Sarani Roy <sarani_at_.....>
Date: Thu Feb 28 2008 - 21:19:19 PST
Hi,

I have doubts about importing a package through wild card import :
package bottom;
 function int func1;
  func1 = 1;
 endfunction
endpackage

package my_pack;
  import bottom::*;
  int int1;
  int int2 = func1();
endpackage

module package_scope4(input int in1,output int out1);
  import my_pack::*;
  always@(in1)
   begin
     out1 = my_pack::func1();
  end
endmodule

In the example above, I have declared a bottom package which defines a 
function.
This package is again imported into another package my_pack.
The function is now used inside this package .

So is the function now declared in my_pack scope?

Also can I refer to this function through scope resolution operator 
inside the module?

If yes then is scope resolution operator necessary for referencing the 
function as wildcard import
has been used and also there is no name conflict in the local scope.

Thanks,
Sarani





-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Feb 28 21:25:38 2008

This archive was generated by hypermail 2.1.8 : Thu Feb 28 2008 - 21:27:33 PST