RE: [sv-bc] Agenda: March 3, 2008 SV-BC Meeting

From: Stuart Sutherland <stuart_at_.....>
Date: Wed Feb 27 2008 - 09:09:58 PST
I would like to add Mantis 1111 to the agenda, with a proposal that it be
closed as NO CHANGE REQUIRED.  

Mantis 1111 shows up in the list action items in the agenda (near the
bottom), where I have the assignment to reword the current proposal.  The
issue is whether the following old-style module declaration is legal:

module foo (y)
output [7:0] y;  // 8-bit port
reg          y;  // scalar variable

The LRM clause in question in P1800/D4 is 22.2.2.1.  The 1364-2001 standard
(and prior versions, I think) said that the port and net/variable vector
ranges had to be identical, and this has been carried into P1800/D4. The
original problem reported by Mantis 1111 was questioning if the LRM wording
also applied for when the port had a range and the vector had no range, as
in the example above.  Committee discussion this mantis item quickly
plumeted off a cliff regarding the fact that Verilog-XL never enforced the
original rule, and that some other major tools cloned this "feature" in XL.
The unapproved proposal for Mantis 1111 is to relax the restriction and
allow Verilog-XL's behavior.  This proposal met with some opposition, which
I agree with, because making this declaration mismatch legal would permit
poor coding style and possibly obscure coding errors.

Rejecting the current proposal and closing Mantis 1111 as no change required
leaves the restriction that port and type declarations must have the same
range.  I think the current LRM wording is already clear that a port with a
range and a net/variable without a range are not identical, and is therefore
illegal.

Stu
~~~~~~~~~~~~~~~~~~~~~~~~~
Stuart Sutherland
stuart@sutherland-hdl.com
+1-503-692-0898
> -----Original Message-----
> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
> Maidment, Matthew R
> Sent: Wednesday, February 27, 2008 12:01 AM
> To: sv-bc@eda.org
> Subject: [sv-bc] Agenda: March 3, 2008 SV-BC Meeting
> 
> I will be traveling for the remainder of the week and will not have
> access to e-mail until the weekend.  Below is the tentative agenda
> for the next phone meeting.  If you have additional items to add,
> please send mail.
> 
> SV-BC Meeting
> Date: Monday, March 3, 2008
> Time: 09:00am-11:00am PST
> 
> Toll Free Dial In Number: 1-866-675-1568
> International Access/Caller Paid Dial In Number: 1-281-540-4972
> PARTICIPANT CODE: 2125837662
> 
> Agenda
> 
> + Review IEEE patent policy
>   http://standards.ieee.org/board/pat/pat-slideset.ppt
> 
> + Review Minutes of Previous Meetings
>   http://www.eda.org/sv-bc/minutes/sv-bc_08_02_18.txt
> 
> + E-mail ballot results
> 
>   1526 (http://www.eda.org/svdb/view.php?id=1526)
>   1709 (http://www.eda.org/svdb/view.php?id=1709)
>   1769 (http://www.eda.org/svdb/view.php?id=1769)
>   2008 (http://www.eda.org/svdb/view.php?id=2008)
>   2089 (http://www.eda.org/svdb/view.php?id=2089)
> 
> + Other Issues
> 
>   1809 (http://www.eda.org/svdb/view.php?id=1809)
>     Anyone willing to submit proposal?  Otherwise move to assigned
> state
>    677 (http://www.eda.org/svdb/view.php?id=677)
>     Can SV-BC take action if 2008 is resolved?
>   2251 (http://www.eda.org/svdb/view.php?id=2251)
>     Pending readiness
>   2235 (http://www.eda.org/svdb/view.php?id=2235)
>     Gord does not have time to generate proposal
>   2269 (http://www.eda.org/svdb/view.php?id=2269)
>     Need to petition 1800 for acceptance
> 
> JEITA
> 
>   1826 - Annex B Add keyword list by LRM version             - Resolved
>   1827 - 20.3.1 Update the OS Reference                      - Resolved
>   1828 - 9.2.2.3, 9.2.2.4 should/can and mandatory statements- E-mail
> Ballot
>   1829 - 6.8 usually logic [31:0] would be little endian.    - Need
> more
> info
>   1832 - path rule/scope rule is not clearly described here. - Assigned
> to Gord
> 
> Ballot Issues
>   675  - Packed union shall not be restricted to equal length- feedback
>          Apr 15, 2005 - closed with resolution of "no change required".
>          SV-BC resolved with no action Jan 21, 2008.
>   676  - Add support of unconstraint arrays                  - feedback
>          Apr 15, 2005 - closed with resolution of "no change required".
>          Reopened for 2008 and now in the feedback state.
>   678  - Variables shall be allowed for slicing vectors.     - feedback
>          Apr 15, 2005 - closed with resolution of "no change required".
>          Reopened for 2008 and now in the feedback state.
>   697  - file IO tasks and new SV data types                 - feedback
>          May 10, 2005 - closed with resolution of "won't fix".
>          Reopened for 2008 and now in the feedback state.
>   677  - unique/priority violation should be errors          - assigned
> (Karen)
>          Apr 15, 2005 - closed with resolution of "no change required".
>          Reopened for 2008 and now in the assigned state.
>   698  - No Definition of Error and Warning                  - assigned
> (Stu)
>          May 10, 2005 - closed with resolution of "won't fix".
>          Reopened for 2008 and now in the feedback state.
> 
> 
> Action Items
> Dropped
> 02/04/2008 Gord to update proposal for 2235 pending Neil's approval
> 
> Completed
> 02/04/2008 Shalom update Mantis with new item(s) raised by resolution
> of
> 1340
> 02/18/2008 Tom: Update SVDB 2008 per feedback from meeting
> 02/18/2008 Matt: Send e-mail ballot for SVDB 1526, 1709, 2089, 1769
> 
> Pending
> 01/09/2006 Shalom file new issure related to SVDB 1254 and include
> example
> 03/27/2006 Cliff post examples demonstrating the need for 0-1 wildcard
>            to justify further action on SVDB 99
> 
>            Other ideas: elsex, defaultx, ifx, alwaysx, initialx,
>            Question: what about X expression in index of Vector or
>            array expressions (a[i] where i === 'X).  Cliff to pursue
> further
>            action.
> 04/10/2006 Steven to create proposal for SVDB 1386
> 04/10/2006 Steven to create proposal for SVDB 1078
> 06/19/2006 Francoise check with SV-CC regariding suitability of data
> read API
>            to address debugging of "dynamic data" in lieu of vcd
> extensions.
> 07/10/2006 Steve add bugnote to 1043 about specifics for resolution
> 07/31/2006 Steve add bug note to 1481 with details for a clearer
> proposal
> 09/25/2006 Matt add SVDB entry to request capability to packages:
>            import/export & non-exported (local) package declarations.
> 10/23/2006 Matt send example of use of forward typedef.
> 12/04/2006 Shalom present compelling example of `begin_define --
> `end_define
> 12/18/2006 Cliff open Mantis item about 'predefault' with compelling
> examples
> 01/08/2007 Vendors to describe interpretation of a path when there are
>            multiple drivers involved and share test cases on reflector.
> 03/05/2007 ALL look at SV-AC items and send Matt a note if you require
> more
>            information to address them.  Matt will work with SV-AC to
> get
>            additional information.
> 04/26/2007 All: Revisit concept of memory in Draft 3
> 
>            Affects PLI backward compatibility and readmem system tasks.
>            After some discussion, ambivalence gave way to agreement
> that
>            arrays and memories as covered in 7.4 need to be revisited
>            for clarification.  SVDB 1362 covers this issue. Dave will
>            start working on it.
> 
> 06/11/2007 Stu reword proposal for SVDB 1111
> 06/11/2007 Mark attempt to define 'variation' in context of resolving
> SVDB 1850
> 06/25/2007 Gord add new SVDB entry and proposal to address expression
> sizing
>            issues for literals of unspecified widths.
> 06/25/2007 Steve look into ability to use 1800 types with old-style
> port
> decls
> 08/06/2007 Steven to record concerns about issues related to issue
> 1935.
> 10/01/2007 Shalom to update SVDB 1747 based on feedback.
> 10/29/2007 Steven to work on new proposal for SVDB 1750
> 12/03/2007 Review editorial issues submitted by Shalom
> 02/04/2008 Shalom will follow-up with Greg Jaxon on 2269
> 02/18/2008 Tom: Update 1345 by replacing 'warning' with 'violation'
> 
> Schedule Reminder
>  02/28/08 SV-AC freezes (merge, champions issues,  new syntax response
> ok)
>  03/31/08 SV-CC freeze.  All activity freezes.
>  05/29/08 Draft 5 of LRM available
> 
> 
> --
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.
> 



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Feb 27 09:38:57 2008

This archive was generated by hypermail 2.1.8 : Wed Feb 27 2008 - 09:39:36 PST