Re: [sv-bc] Email ballot: Respond by Friday, Februrary 29, 8am PST

From: Gordon Vreugdenhil <gordonv_at_.....>
Date: Sat Feb 23 2008 - 09:34:24 PST
Warmke, Doug wrote:
> Doug's Votes:
> 
> SVDB 1526 _X_Yes   ___No
> http://www.eda.org/svdb/view.php?id=1526
> Proposal:
> Covered by resolution of SVDB 1707
> http://www.eda.org/svdb/view.php?id=1707
> 
> SVDB 1709 _X_Yes   ___No
> http://www.eda.org/svdb/view.php?id=1709
> Proposal:
> Covered by resolution of SVDB 1707
> http://www.eda.org/svdb/view.php?id=1707
> 
> SVDB 1769 ___Yes   _X_No
> http://www.eda.org/svdb/view.php?id=1769
> 
> Will change vote to Yes once the following have been resolved.
> (Some are very minor)
> 1. The $warning task in the intro area has an extra space after the '$'.
> 2. The term "error task" is used in several places in this proposal.
>    It should be "severity system task", in light of approved Mantis 1641.
>    A cross-reference to "19.9 Severity System Tasks" should be made, too.
> 3. In terms of generate constructs, why only conditional generates?
>    These would be useful in case and loop generates as well.


I think the expectation was not that the calls can't be nested inside
a loop, just that they must have an immediately guarding condition.
This makes sense -- there are likely few cases where you'd want
unguarded $info or similar in a loop.  The calls in the example
are in fact guarded within the loop.

I don't care which way this detail goes.

Gord

-- 
--------------------------------------------------------------------
Gordon Vreugdenhil                                503-685-0808
Model Technology (Mentor Graphics)                gordonv@model.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sat Feb 23 09:34:48 2008

This archive was generated by hypermail 2.1.8 : Sat Feb 23 2008 - 09:35:28 PST