RE: [sv-bc] Mantis 1828

From: Jonathan Bromley <jonathan.bromley_at_.....>
Date: Thu Feb 14 2008 - 12:51:05 PST
> >Oh, I suppose there's the strange posedge...iff... thing.
> 
> I think maybe it was intended to express clock gating.

Urrrm, no, not at all; it's clearly defined to be a 
synchronous enable.  I think I should retract my 
skepticism over its usefulness, though; it can be
used in event expressions in other contexts, such as 
clocking blocks or the clocks for assertions, where
it would otherwise be troublesome to describe a
synchronous clock enable.  Its availability in
always_ff is merely a side-effect, and not a very
useful one.
-- 
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223                   Email: jonathan.bromley@doulos.com
Fax: +44 (0)1425 471573                           Web: http://www.doulos.com

The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Feb 14 12:52:01 2008

This archive was generated by hypermail 2.1.8 : Thu Feb 14 2008 - 12:52:44 PST