RE: [sv-bc] var on inputs

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Wed Feb 13 2008 - 02:16:24 PST
22.3.3.2 says, "Assignments to variables declared as an input port shall
be illegal."
 
Shalom

 

________________________________

	From: owner-sv-bc@server.eda.org
[mailto:owner-sv-bc@server.eda.org] On Behalf Of danielm
	Sent: Wednesday, February 13, 2008 11:46 AM
	To: sv-bc@server.eda.org
	Subject: [sv-bc] var on inputs
	
	
	For var inputs should we allow to have such input driven by both
- port connection, and porcedural block like in below example?
	 
	 
	module top;
	        wire w;
	        sub uut(w); //< input of sub is driven by port
connection
	endmodule
	 
	

	module sub (input reg i);
	        initial i=1;  //input of sub is driven by procedural
assignment
	        initial #0 $display(i);
	endmodule
	
	 
	DANiel

	-- 
	This message has been scanned for viruses and 
	dangerous content by MailScanner <http://www.mailscanner.info/>
, and is 
	believed to be clean. 

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Feb 13 02:28:43 2008

This archive was generated by hypermail 2.1.8 : Wed Feb 13 2008 - 02:29:24 PST