RE: [sv-bc] Agenda: January 21, 2008 SV-BC Meeting (NOTE: NEW CALL LOGISTICS)

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Sun Jan 20 2008 - 19:43:59 PST
Matt,

I would like to vote to approve the friendly amendment to 2163 proposed
by the Champions.

Thanks,
Shalom 

> -----Original Message-----
> From: owner-sv-bc@server.eda.org 
> [mailto:owner-sv-bc@server.eda.org] On Behalf Of Maidment, Matthew R
> Sent: Monday, January 21, 2008 2:31 AM
> To: sv-bc@server.eda.org
> Subject: [sv-bc] Agenda: January 21, 2008 SV-BC Meeting 
> (NOTE: NEW CALL LOGISTICS)
> 
> SV-BC Meeting
> Date: Monday, January 21, 2008
> Time: 09:00am-11:00am PST
> 
> Toll Free Dial In Number: 1-866-675-1568 International 
> Access/Caller Paid Dial In Number: 1-281-540-4972 PARTICIPANT 
> CODE: 2125837662
> 
> Agenda
> 
> + Review IEEE patent policy
>   http://standards.ieee.org/board/pat/pat-slideset.ppt
>  
> + Review Minutes of Previous Meetings
> 
>   http://www.eda-stds.org/sv-bc/minutes/sv-bc_08_01_07.txt
> 
> + Direction from 1800 WG
> 
>   Neil posted feedback from the 1800 WG to the reflector:  
> 
>   http://www.eda-stds.org/sv-bc/hm/7839.html
> 
>               - LRM merge issues
>               - Editing issues
>               - Champions feedback
>               - 2005 ballot issues 
>               - Jeita issues       
>               - Mantis 2008 - svbc (related to svac 2005)
>               - Mantis 1982 - svbc to review this svac mantis item
>               - Mantis  966 - svbc to review this svac mantis item
> 
>   Please review and raise any questions or concerns.
> 
> + Issues
> 
>   2008 (http://www.eda.org/svdb/view.php?id=2008) 
>    Discuss based on feedback from e-mail vote.
> 
>  
>   1758 (http://www.eda.org/svdb/view.php?id=1758)
>     Received feedback from Champions and needs review.
> 
>   1651 (http://www.eda.org/svdb/view.php?id=1651)
>     Received feedback from Champions and needs review.
> 
>    675 (http://www.eda.org/svdb/view.php?id=675)
>     Can this be resolved as addressed by tagged unions?
> 
>    677 (http://www.eda.org/svdb/view.php?id=677)
>     Can be revisited pending outcome of 2008
>  
>    698 (http://www.eda.org/svdb/view.php?id=698)
>     Can this be addressed for 2008?
> 
>   1827 (http://www.eda.org/svdb/view.php?id=1827)
>     Seems simple enough.  Can we review and find owner to 
> write a proposal?
> 
>   1828 (http://www.eda.org/svdb/view.php?id=1828)
>     Does the SV-BC agree?
> 
>   1829 (http://www.eda.org/svdb/view.php?id=1829)
>     Minor issue to discuss.
> 
> JEITA
> 
>   1826 - Annex B Add keyword list by LRM version             
> - Resolved
> as of Jan 7, 2008 Meeting
>   1827 - 20.3.1 Update the OS Reference                      - new
>   1828 - 9.2.2.3, 9.2.2.4 should/can and mandatory statements- new
>   1829 - 6.8 usually logic [31:0] would be little endian.    - new
>   1832 - path rule/scope rule is not clearly described here. 
> - Assigned to Gord
> 
> Ballot Issues
>   675  - Packed union shall not be restricted to equal 
> length- feedback
>          Apr 15, 2005 - closed with resolution of "no change 
> required".
>          Reopened for 2008 and now in the feedback state.
>   676  - Add support of unconstraint arrays                  
> - feedback
>          Apr 15, 2005 - closed with resolution of "no change 
> required".
>          Reopened for 2008 and now in the feedback state.
>   678  - Variables shall be allowed for slicing vectors.     
> - feedback
>          Apr 15, 2005 - closed with resolution of "no change 
> required".
>          Reopened for 2008 and now in the feedback state.
>   697  - file IO tasks and new SV data types                 
> - feedback
>          May 10, 2005 - closed with resolution of "won't fix".
>          Reopened for 2008 and now in the feedback state.
>   677  - unique/priority violation should be errors          
> - assigned
> (Karen)
>          Apr 15, 2005 - closed with resolution of "no change 
> required".
>          Reopened for 2008 and now in the assigned state.
>   698  - No Definition of Error and Warning                  
> - assigned
> (Stu)
>          May 10, 2005 - closed with resolution of "won't fix".
>          Reopened for 2008 and now in the feedback state.
> 
> 
> Action Items
> Completed
> 01/07/2008 Matt change status of SVDB 1846 to closed as duplicate.
> 01/07/2008 Tom prepare 2008 for vote.
> 
> Pending
> 01/09/2006 Shalom file new issure related to SVDB 1254 and 
> include example
> 03/27/2006 Cliff post examples demonstrating the need for 0-1 
> wildcard 
>            to justify further action on SVDB 99
> 
>            Other ideas: elsex, defaultx, ifx, alwaysx, initialx,
>            Question: what about X expression in index of Vector or
>            array expressions (a[i] where i === 'X).  Cliff to 
> pursue further
>            action.
> 04/10/2006 Steven to create proposal for SVDB 1386
> 04/10/2006 Steven to create proposal for SVDB 1078
> 06/19/2006 Francoise check with SV-CC regariding suitability 
> of data read API
>            to address debugging of "dynamic data" in lieu of 
> vcd extensions.
> 07/10/2006 Steve add bugnote to 1043 about specifics for resolution
> 07/31/2006 Steve add bug note to 1481 with details for a 
> clearer proposal
> 09/25/2006 Matt add SVDB entry to request capability to packages: 
>            import/export & non-exported (local) package declarations.
> 10/23/2006 Matt send example of use of forward typedef.
> 12/04/2006 Shalom present compelling example of `begin_define 
> -- `end_define
> 12/18/2006 Cliff open Mantis item about 'predefault' with 
> compelling examples
> 01/08/2007 Vendors to describe interpretation of a path when there are
>            multiple drivers involved and share test cases on 
> reflector.
> 03/05/2007 ALL look at SV-AC items and send Matt a note if 
> you require more
>            information to address them.  Matt will work with 
> SV-AC to get
>            additional information. 
> 04/26/2007 All: Revisit concept of memory in Draft 3
> 
>            Affects PLI backward compatibility and readmem 
> system tasks.
>            After some discussion, ambivalence gave way to 
> agreement that
>            arrays and memories as covered in 7.4 need to be revisited 
>            for clarification.  SVDB 1362 covers this issue. Dave will
>            start working on it.
> 
> 06/11/2007 Stu reword proposal for SVDB 1111
> 06/11/2007 Mark attempt to define 'variation' in context of 
> resolving SVDB 1850
> 06/25/2007 Gord add new SVDB entry and proposal to address 
> expression sizing
>            issues for literals of unspecified widths.
> 06/25/2007 Steve look into ability to use 1800 types with 
> old-style port decls
> 08/06/2007 Steven to record concerns about issues related to 
> issue 1935.
> 09/06/2007 Stu to write proposal for SVDB 1826
> 09/17/2007 Shalom to update proposal for SVDB 1863
> 10/01/2007 Shalom to update SVDB 1747 based on feedback.
> 10/29/2007 Steven to work on new proposal for SVDB 1750
> 10/29/2007 Shalom to update SVDB 2097 per feedback.
> 12/03/2007 Review editorial issues submitted by Shalom
> 
> 
> Schedule Reminder
>  11/12/07 Committees must open active Mantis items that they are going
>           to complete for this release.  They may not work on any item
>           not on in this list. 
>  12/15/07 SV-BC and SV-EC must complete all items from their 
> Mantis list.
>           Past this date they are only authorized to work on merge,
>           editing and champions issues.
>  02/15/08 SV-CC must complete all items from its Mantis list. 
>  Past this
> 
>           date they are only authorized to work on merge, editing and 
>           champions issues.
>  02/28/08 SV-AC freezes.  It does not get leeway for merge, 
> editing and 
>           champions issues.  
> 
> --
> This message has been scanned for viruses and dangerous 
> content by MailScanner, and is believed to be clean.
> 
> 
> 
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Jan 20 19:46:08 2008

This archive was generated by hypermail 2.1.8 : Sun Jan 20 2008 - 19:46:39 PST