Re: [sv-bc] Is interface ref variable automatic?

From: Steven Sharp <sharp_at_.....>
Date: Wed Dec 12 2007 - 15:44:25 PST
As Mark says, there is a difference between a ref argument to a task/function
and a ref port to an interface or module.  It is ref arguments that must be
automatic (i.e. the task/function must be automatic, so that the formal
argument is automatic).

Steven Sharp
sharp@cadence.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Dec 12 16:17:23 2007

This archive was generated by hypermail 2.1.8 : Wed Dec 12 2007 - 16:18:13 PST