RE: [sv-bc] e-mail ballot: respond by Dec 3, 8am PST

From: Stuart Sutherland <stuart_at_.....>
Date: Fri Nov 30 2007 - 11:39:39 PST
These changes resolve my concerns, which were only about clarifying the
wording.  I would like to change my vote to Yes on Mantis 1338.

Stu
~~~~~~~~~~~~~~~~~~~~~~~~~
Stuart Sutherland
Sutherland HDL, Inc.
stuart@sutherland-hdl.com
503-692-0898
 

> -----Original Message-----
> From: owner-sv-bc@server.eda.org 
> [mailto:owner-sv-bc@server.eda.org] On Behalf Of Alsop, Thomas R
> Sent: Friday, November 30, 2007 9:37 AM
> To: Bresticker, Shalom; stuart@sutherland-hdl.com; 
> sv-bc@server.eda.org
> Subject: RE: [sv-bc] e-mail ballot: respond by Dec 3, 8am PST
> 
> Okay, I looked at 1119 and I agree with Shalom on this.  I didn't know
> about this other mantis item.  It most definitely handles the 
> additional
> text added below. 
> 
> I have struck out the description and examples relating to macro
> argument and embedded macro replacement and uploaded another 
> version of
> this proposal. Given 1119 eventually getting into the LRM with this
> proposal, the description and examples should all flow much better
> logically.
> 
> I think this should be worthy of approval now. 
> 
> Thanks, -Tom
> 
> 
> >-----Original Message-----
> >From: owner-sv-bc@server.eda.org 
> [mailto:owner-sv-bc@server.eda.org] On
> >Behalf Of Bresticker, Shalom
> >Sent: Thursday, November 29, 2007 1:40 AM
> >To: stuart@sutherland-hdl.com; sv-bc@server.eda.org
> >Subject: RE: [sv-bc] e-mail ballot: respond by Dec 3, 8am PST
> >
> >Hi,
> >
> >> > SVDB 1338 ___Yes   _X_No
> >> > http://www.eda.org/svdb/view.php?id=1338
> >>
> >> I do not object to the technical aspects of the proposal, but
> >> found the new text and example to be confusing.  The
> >> paragraphs starts off talking about `", but the new sentence
> >> that begins with "A string literal embedded inside a
> >> macro..." at the end of the paragraph and the new example
> >> after the paragraph do not use `".  I suggest moving this new
> >> sentence and accompanying example and the new paragraph after
> >> the new example to all come after the original example and
> >> accompanying description, instead of before.
> >
> >I would just strike entirely the following part of the proposal:
> >
> >"A string literal embedded inside a macro will not replace macro
> >arguments or embedded macros within that string. For example:
> >
> >`define y rightside
> >`define noreplacement(x) "x: `y"
> >initial begin
> >   $display(`noreplacement(left side)); // Macro argument x and
> embedded
> >macro `y are not replaced.
> >end
> >
> >results in the follow code:
> >
> >initial begin
> >   $display("x: `y");
> >end"
> >
> >This is covered in the text added in Mantis 1119, just before the
> >paragraph, "The 'define macro text can also include `", 
> `\`", and ``."
> >
> >Then the text flows logically. First, it says that substitution does
> not
> >occur in string literals. Then it says that there is `" and explains
> how
> >that works. Then it says what happens if they are mixed.
> >
> >Shalom
> >
> >
> >---------------------------------------------------------------------
> >Intel Israel (74) Limited
> >
> >This e-mail and any attachments may contain confidential material for
> >the sole use of the intended recipient(s). Any review or distribution
> >by others is strictly prohibited. If you are not the intended
> >recipient, please contact the sender and delete all copies.
> >
> >--
> >This message has been scanned for viruses and
> >dangerous content by MailScanner, and is
> >believed to be clean.
> 
> -- 
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.
> 
> 
> 


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Nov 30 11:40:07 2007

This archive was generated by hypermail 2.1.8 : Fri Nov 30 2007 - 11:40:17 PST