RE: [sv-bc] Mantis 1338 - Clarificaion on String literal behavior in macros

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Thu Nov 22 2007 - 09:28:09 PST
No, you would get
 
$display("x: " \"right side\"");

 

Shalom

 




	`define nosubx(x,y) "x: " `\`"y`\`"`"

	 

	Expands to :

	 

	$display("x: \"right side\"");

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Nov 22 09:32:10 2007

This archive was generated by hypermail 2.1.8 : Thu Nov 22 2007 - 09:32:30 PST