RE: [sv-bc] RE: [sv-ac] sampled assertion function vs data types - refereing to prior simulation

From: Korchemny, Dmitry <dmitry.korchemny_at_.....>
Date: Tue Nov 20 2007 - 04:20:07 PST
Therefore it looks like that the initial sampled value of w is 1'bz.

Thanks,
Dmitry

-----Original Message-----
From: Bresticker, Shalom 
Sent: Monday, November 19, 2007 8:03 PM
To: Jonathan Bromley; john.havlicek@freescale.com; Korchemny, Dmitry
Cc: danielm@aldec.com.pl; sv-ac@server.eda-stds.org;
sv-bc@server.eda.org
Subject: RE: [sv-bc] RE: [sv-ac] sampled assertion function vs data
types - refereing to prior simulation

Ah, if you mean that, then yes, it is 1'bz till the assign affects it,
which is after simulation starts.

Shalom 

> -----Original Message-----
> From: Jonathan Bromley [mailto:jonathan.bromley@doulos.com] 
> Sent: Monday, November 19, 2007 8:00 PM
> To: Bresticker, Shalom; john.havlicek@freescale.com; Korchemny, Dmitry
> Cc: danielm@aldec.com.pl; sv-ac@server.eda-stds.org; 
> sv-bc@server.eda.org
> Subject: RE: [sv-bc] RE: [sv-ac] sampled assertion function 
> vs data types - refereing to prior simulation
> 
> > > Maybe someone in SV-BC can clarify.  The question is, given the 
> > > declarations below is the initial value of w 1'bz or 1'bx?
> > > 
> > >   reg r;
> > >   wire w;
> > >   assign w = r;
> 
> [the initial value of w]
> > Should be 1'bx.
> > Since r is unitialized, it is 1'bx.
> > w is driven by r.
> 
> 1'bx even in the Preponed region of the very first timeslot???
> Surely the first activation of the continuous assignment 
> cannot occur until the Active region of time 0?
> Or is this too mechanistic a view of continuous assignment?
> --
> Jonathan Bromley, Consultant
> 
> DOULOS - Developing Design Know-how
> VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services
> 
> Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, 
> Hampshire, BH24 1AW, UK
> Tel: +44 (0)1425 471223                   Email: 
> jonathan.bromley@doulos.com
> Fax: +44 (0)1425 471573                           Web: 
> http://www.doulos.com
> 
> The contents of this message may contain personal views which 
> are not the views of Doulos Ltd., unless specifically stated.
> 
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Nov 20 04:20:35 2007

This archive was generated by hypermail 2.1.8 : Tue Nov 20 2007 - 04:21:17 PST