[sv-bc] FW: [sv-ec] Mantis 2137 and structured procedures

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Oct 30 2007 - 20:14:45 PDT
fyi 

-----Original Message-----
From: owner-sv-ec@server.eda.org [mailto:owner-sv-ec@server.eda.org] On
Behalf Of Michael Burns
Sent: Wednesday, October 31, 2007 1:09 AM
To: SV_EC List
Subject: [sv-ec] Mantis 2137 and structured procedures


Hi folks,

In 9.2 Structured Procedures (Draft 4), the first paragraph says,

   "All procedures in SystemVerilog are specified within one of the
following
    constructs:"

and then lists always (in its various flavors), intial, final, task and
function. What do we mean by "procedure" and "procedural context"? It
does not appear to be "process" (which includes non-procedural stuff
like continuous
assignments) nor "sequential context" (which does not include the
various fork-joins), nor "callable thing" (which, obviously, doesn't
include always, initial, or final). Is a procedure just a place where a
statement can be? If so, I'm concerned about the use of "All" here -
using it here prevents any other clause from defining a procedural
context (a place for a statement) that is not a structured procedure (I
guess this is a procedure with some degree of structure - perhaps it is
created using a keyword or something).

In any event, I think it would be better to change that first sentence
to read "Structured procedures" rather than "All procedures". I can then
leave it to the AC to denote in clause 16 whatever they like as a
procedural context without having to worry about conflicting with 9.2.

I would also suggest to AC that they denote sequence_match_item as a
procedural context, since everything they want to put there (assignment,
inc/dec, subroutine call) is a statement.

--Mike


--
This message has been scanned for viruses and dangerous content by
MailScanner, and is believed to be clean.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Oct 30 20:20:27 2007

This archive was generated by hypermail 2.1.8 : Tue Oct 30 2007 - 20:21:02 PDT