RE: [sv-bc] That modport direction issue again

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Tue Oct 16 2007 - 15:41:35 PDT
I'm on record in http://www.eda-stds.org/sv-bc/hm/6359.html as saying
that 'output' on an interface variable in a modport should not change
the underlying 'ref' semantics, but should instead impose a
single-driver condition on the interface variable.

-- Brad

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Jonathan Bromley
Sent: Tuesday, October 16, 2007 2:26 PM
To: sv-bc@eda.org; sv-ec@eda.org
Subject: [sv-bc] That modport direction issue again

hi BC, EC,

On a number of previous occasions I've nagged away at the issue of what
it really means to have a modport with an item of "output" direction,
without getting a definitive result.  
Synthesis implementors strongly take the position that it represents a
continuous assignment to the corresponding interface item, but the LRM
does not really justify this and all the major simulators take the other
position, that the modport-type port represents an alias to the modport
instance.  The consequences of this divergence are unpleasant.  
I have created Mantis 2114 to ask for clarification.

As I hope I've made clear in the bugnote, clarifying the LRM in the
synthesis implementors' favour would severely impact the usability of
interfaces and modports by outlawing some obvious idioms, including
certain uses of virtual interface (which is why EC is copied, although
I've marked the Mantis for BC since they are primarily responsible for
interfaces).

I am convinced that this is quite badly broken, and needs fixing.
Unless someone can persuade me otherwise, I urge BC to take a look at
this and get it on to their agenda if at all possible.  I'm happy to do
the legwork of writing a proposal if people can tell me what the
consensus is.

Thanks
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24
1AW, UK
Tel: +44 (0)1425 471223                   Email:
jonathan.bromley@doulos.com
Fax: +44 (0)1425 471573                           Web:
http://www.doulos.com

The contents of this message may contain personal views which are not
the views of Doulos Ltd., unless specifically stated.

--
This message has been scanned for viruses and dangerous content by
MailScanner, and is believed to be clean.



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Oct 16 15:42:04 2007

This archive was generated by hypermail 2.1.8 : Tue Oct 16 2007 - 15:42:15 PDT