[sv-bc] Agenda: Oct 15, 2007 SV-BC Meeting

From: Maidment, Matthew R <matthew.r.maidment_at_.....>
Date: Fri Oct 12 2007 - 14:02:31 PDT
SV-BC Meeting
Date: Monday, October 15, 2007
Time: 09:00am-11:00am PDT

Toll Free Dial In Number: (888)635-9997
International Access/Caller Paid Dial In Number: (763)315-6815
PARTICIPANT CODE: 53904

Agenda

+ Review IEEE patent policy
  http://standards.ieee.org/board/pat/pat-slideset.ppt
 
+ Review Minutes of Previous Meetings

  http://www.eda-stds.org/sv-bc/minutes/sv-bc_07_10_01.txt

+ Schedule update

   11/12/07 Committees must open active Mantis items that they are going
            to complete for this release.  They may not work on any item
            not on in this list. 

   12/15/07 SV-BC and SV-EC must complete all items from their Mantis
list.
            Past this date they are only authorized to work on merge,
            editing and champions issues.

   02/15/08 SV-CC must complete all items from its Mantis list.  Past
this 
            date they are only authorized to work on merge, editing and 
            champions issues.

   02/28/08 SV-AC freezes.  It does not get leeway for merge, editing
and 
            champions issues.  

  The SV-BC list will close Oct 15 to ensure prioritization, assignments
  and enough time to address as many issues as possible.

+ E-mail Vote
  
  Review results of recent e-mail vote.

+ Glitch suppression of unique/priority checks

  Gord worked with the SV-AC on this topic

  http://www.eda.org/sv-bc/hm/7021.html

  and would like to discuss during the meeting

+ Issues

  1280 (http://www.eda.org/svdb/view.php?id=1280)
  1444 (http://www.eda.org/svdb/view.php?id=1444)
  2097 (http://www.eda.org/svdb/view.php?id=2097)
  2102 (http://www.eda.org/svdb/view.php?id=2102)

Action Items
Completed
10/01/2007 Matt include SVDB 1619 on future e-mail ballot. 
10/01/2007 Matt send next e-mail ballot on Oct 3 with closure of Oct 14.
10/01/2007 Matt update proposal for SVDB 1425.
10/01/2007 Matt to communicate extension request to 1800 including 
           information that not addressing these issues risks 
           implementation divergence.
10/01/2007 Matt to communicate issue submission deadline of Oct 15.
10/01/2007 Matt to notify SV-CC of results of motion regarding Read API
10/01/2007 Matt send note to Mehdi reminding him of issues 801/1702
10/01/2007 Tom to update proposal for SVDB 1468 for future ballot.
10/01/2007 Shalom to rework proposal for SVDB 1940 to address Don's
feedback
           regarding declaring wires without a data type but with a
packed 
           range.

Pending
01/09/2006 Shalom file new issure related to SVDB 1254 and include
example
03/27/2006 Cliff post examples demonstrating the need for 0-1 wildcard 
           to justify further action on SVDB 99

           Other ideas: elsex, defaultx, ifx, alwaysx, initialx,
           Question: what about X expression in index of Vector or
           array expressions (a[i] where i === 'X).  Cliff to pursue
further
           action.
04/10/2006 Steven to create proposal for SVDB 1386
04/10/2006 Steven to create proposal for SVDB 1078
06/19/2006 Francoise check with SV-CC regariding suitability of data
read API
           to address debugging of "dynamic data" in lieu of vcd
extensions.
07/10/2006 Steve add bugnote to 1043 about specifics for resolution
07/31/2006 Steve add bug note to 1481 with details for a clearer
proposal
09/25/2006 Matt add SVDB entry to request capability to packages: 
           import/export & non-exported (local) package declarations.
10/23/2006 Matt send example of use of forward typedef.
12/04/2006 Shalom present compelling example of `begin_define --
`end_define
12/18/2006 Cliff open Mantis item about 'predefault' with compelling
examples
01/08/2007 Vendors to describe interpretation of a path when there are
           multiple drivers involved and share test cases on reflector.
03/05/2007 ALL look at SV-AC items and send Matt a note if you require
more
           information to address them.  Matt will work with SV-AC to
get
           additional information. 
03/19/2007 Cliff send e-mail to Karen regarding SVDB account
04/26/2007 All: Revisit concept of memory in Draft 3

           Affects PLI backward compatibility and readmem system tasks.
           After some discussion, ambivalence gave way to agreement that
           arrays and memories as covered in 7.4 need to be revisited 
           for clarification.  SVDB 1362 covers this issue. Dave will
           start working on it.

06/11/2007 Stu reword proposal for SVDB 1111
06/11/2007 Matt notify SV-AC to update 1641 to system task/function
section
06/11/2007 Mark attempt to define 'variation' in context of resolving
SVDB 1850
06/25/2007 Gord add new SVDB entry and proposal to address expression
sizing
           issues for literals of unspecified widths.
06/25/2007 Steve look into ability to use 1800 types with old-style port
decls
08/06/2007 Steven to record concerns about issues related to issue 1935.
09/06/2007 Stu to write proposal for SVDB 1826
09/17/2007 Shalom to update proposal for SVDB 1863
10/01/2007 Shalom to update SVDB 1747 based on feedback.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Oct 12 14:03:23 2007

This archive was generated by hypermail 2.1.8 : Fri Oct 12 2007 - 14:03:33 PDT