[sv-bc] [sv-ac] 1549 and inside operator

From: Korchemny, Dmitry <dmitry.korchemny_at_.....>
Date: Wed Oct 10 2007 - 09:20:02 PDT
Hi all,

 

I have the following use case that is directly (or indirectly) related
to 1549 resolution. Consider the following sequence:

 

sequence s(x, y);

##1 x inside {y};

endsequence

 

What is the right way to pass a set to this sequence instantiation in a
concurrent assertion? Should it be

 

assert property(@clk  s(a, '{1,3, 5});

 

?

 

Or should other (which) syntax be used?

 

It looks like the LRM does not define it precisely.

 

Thanks,

Dmitry

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Oct 10 09:21:50 2007

This archive was generated by hypermail 2.1.8 : Wed Oct 10 2007 - 09:24:24 PDT