RE: [sv-bc] clarification request

From: Rich, Dave <Dave_Rich_at_.....>
Date: Thu Oct 04 2007 - 15:49:39 PDT
Steven,

I'm pretty sure that I wrote that section and Gord wrote the longest
static prefix section. I was working for a different employer at the
time these rules were written whose motivations I can't remember and
probably would not be to comment on if I did. 

Regardless of the reasoning, my current interpretation of the LRM
remains, and you many not mix CAs and PAs to the same packed object. You
would have to remove that text (as long as you still are not able to mix
CAs and PAs to elements that share their longest static prefix). I can
tell you at this point I really don't have a preference as this seems to
be a stylistic restriction. 

> -----Original Message-----
> From: Steven Sharp [mailto:sharp@cadence.com]
> Sent: Thursday, October 04, 2007 1:10 PM
> To: sharp@cadence.com; sv-bc@eda.org; Rich, Dave
> Subject: RE: [sv-bc] clarification request
> 
> 
> >From: "Rich, Dave" <Dave_Rich@mentor.com>
> 
> >These restrictions are based on existing restrictions on the
> >force/release and assign/deassign constructs, which do not allow
slices
> >of variables to be continuously assigned, while leaving other parts
of
> >the variable alone.
> 
> There is another argument against this being the reasoning.
> 
> Existing restrictions on force/release and assign/deassign also do not
> allow parts of unpacked variables to be assigned that way.  But
> different parts of unpacked variables are allowed to be assigned by a
> mixture of procedural and continuous assigns.  So one does not imply
> the other.
> 
> Steven Sharp
> sharp@cadence.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Oct 4 15:49:55 2007

This archive was generated by hypermail 2.1.8 : Thu Oct 04 2007 - 15:51:34 PDT