RE: [sv-bc] RESEND: Default Module Ports Proposal posted

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Oct 02 2007 - 23:43:11 PDT
I would also like to see an example or examples that show the following:
 
1. default value is a constant expression involving a parameter
2. parameter override in instantiation to show that instance default
uses new parameter value
3. have same parameter identifier defined in scope of instantiating
module, to show that parameter identifier is resolved to that in the
declaration, not in the instantiation location.
 
Maybe also some text to clarify these.
 
 
Thanks,
Shalom
 


________________________________

	From: owner-sv-bc@server.eda.org
[mailto:owner-sv-bc@server.eda.org] On Behalf Of Don Mills
	Sent: Monday, October 01, 2007 7:56 PM
	Cc: sv-bc@server.eda.org
	Subject: Re: [sv-bc] RESEND: Default Module Ports Proposal
posted
	
	
	Stu asked during the call what the strength will be of the
default assignment and the discussion noted that the strength will be
strong.  Should this be noted in the proposal?
	
	Alsop, Thomas R wrote: 

		Shalom is saying this didn't get through the reflector
so resending.  -Tom

		

		From: Alsop, Thomas R 
		Sent: Wednesday, September 26, 2007 8:52 AM
		To: sv-bc@server.eda-stds.org
		Subject: Default Module Ports Proposal posted 

		

		Shalom and I have been working on a proposal for default
ports on modules.  We feel it's now in a state ready for review.  Please
take a look at the issue and the attached proposal and give us your
feedback. 

		

		http://www.eda.org/svdb/view.php?id=1619

		

		Thanks, -Tom

		


		-- 
		This message has been scanned for viruses and 
		dangerous content by MailScanner
<http://www.mailscanner.info/> , and is 
		believed to be clean. 


	-- 
	==========================================================
	Don Mills
	mills@lcdm-eng.com
	www.lcdm-eng.com
	==========================================================
	

	-- 
	This message has been scanned for viruses and 
	dangerous content by MailScanner <http://www.mailscanner.info/>
, and is 
	believed to be clean. 

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Oct 2 23:44:04 2007

This archive was generated by hypermail 2.1.8 : Tue Oct 02 2007 - 23:44:39 PDT