RE: [sv-bc] Local parameters in parameter-port-list (Mantis 1134)

From: Jonathan Bromley <jonathan.bromley_at_.....>
Date: Tue Aug 21 2007 - 11:53:52 PDT
> I see no difference between this

[localparam/parameter persists across lists of declarations]

> and in the handling of port directions.  If you specify a
> port direction, all declarations that follow retain that
> direction until another direction is specified.

Yes; and a ghastly confusing mess that has always seemed to me.

However, on balance I agree that for symmetry we should allow
the local/nonlocal-ness to be "sticky" for parameters too.
It makes the 1134 change very simple.  Users who care about 
readability can easily specify everything for each 
parameter/localparam if they so wish.  And it gives Stu and 
Don another Gotcha! to write about :-)
-- 
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223                   Email: jonathan.bromley@doulos.com
Fax: +44 (0)1425 471573                           Web: http://www.doulos.com

The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Aug 21 11:54:30 2007

This archive was generated by hypermail 2.1.8 : Tue Aug 21 2007 - 11:55:02 PDT