FW: [sv-bc] Mantis 1602: task/function inout arg defaults - draft proposal

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Aug 14 2007 - 22:43:46 PDT
Hi,
 
I did not get any responses to this.
 
Please comment.
 
Thanks,
Shalom

________________________________

From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On
Behalf Of Bresticker, Shalom
Sent: Friday, August 03, 2007 6:29 PM
To: sv-bc
Subject: [sv-bc] Mantis 1602: task/function inout arg defaults - draft
proposal



<<1602_D3a.doc>> 
Hi, 

I have attached a draft proposal for Mantis 1602, clarifying the
behavior of subroutine inout argument defaults and also allowing output
defaults. It still does not cover allowing output arguments to be
unconnected. Please comment.

The phrasing about identifiers in the defaults being bound from the
scope of the subroutine declaration is the same as the original
phrasing, but I feel it is not clear enough and requires some examples
to clarify it.

Thanks, 
Shalom 

Shalom Bresticker 
Intel Jerusalem LAD DA 
+972 2 589-6852 
+972 54 721-1033 


-- 
This message has been scanned for viruses and 
dangerous content by MailScanner <http://www.mailscanner.info/> , and is

believed to be clean. 

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.



Received on Tue Aug 14 22:44:25 2007

This archive was generated by hypermail 2.1.8 : Tue Aug 14 2007 - 22:44:38 PDT