RE: [sv-ec] Re: [sv-bc] tf_port_item footnote 33

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Mon Jul 30 2007 - 00:53:58 PDT
I had another issue with interface task/function prototypes in Mantis
1635.

Shalom


> -----Original Message-----
> From: owner-sv-ec@server.eda.org 
> [mailto:owner-sv-ec@server.eda.org] On Behalf Of Brad Pierce
> Sent: Sunday, July 29, 2007 9:31 PM
> To: sv-ec@server.eda-stds.org; sv-bc@server.eda.org
> Subject: Re: [sv-ec] Re: [sv-bc] tf_port_item footnote 33
> 
> Shalom,
> 
> Good point.  I think it's too late to undo this text which 
> was deliberately added by
> 
>     http://www.eda-stds.org/svdb/view.php?id=169
> 
> Some background -- according to Mantis, the proposal was made 
> by me in response to an issue raised by Stu, and according to 
> the minutes
> 
>     http://www.eda-stds.org/sv-bc/minutes/sv-bc_04_11_30.txt 
> 
> it was approved unanimously by the SV-BC with a friendly amendment.
> Those in attendance, in addition to the chair, were myself, 
> Karen, Francoise, Mark, Steven, Stu, Don, Doug, and Kathy.
>             
> -- Brad
> 
> -----Original Message-----
> From: owner-sv-ec@eda.org [mailto:owner-sv-ec@eda.org] On 
> Behalf Of Bresticker, Shalom
> Sent: Sunday, July 29, 2007 7:14 AM
> To: sv-ec@eda-stds.org; sv-bc@eda.org
> Subject: RE: [sv-ec] RE: [sv-bc] tf_port_item footnote 33
> 
> I think you would have to modify paragraphs 2 and 3 in 24.7 
> (Tasks and functions in interfaces) as well?
> 
> Shalom
>  
> 
> > -----Original Message-----
> > From: owner-sv-ec@server.eda.org
> > [mailto:owner-sv-ec@server.eda.org] On Behalf Of Steven Sharp
> > Sent: Wednesday, July 25, 2007 2:07 AM
> > To: sv-ec@server.eda-stds.org; sv-bc@server.eda.org; 
> > Brad.Pierce@synopsys.com
> > Subject: RE: [sv-ec] RE: [sv-bc] tf_port_item footnote 33
> > 
> > 
> > >Do you mean that you'd like to modify Footnote 33 to say
> > "except within
> > >a dpi_import_export"?
> > 
> > Is that really the only place that would need to be modified? 
> >  That does support the idea that there was no intent that the names 
> > could be left off for Verilog task/function prototypes.
> >  As you said, the fact that this footnote doesn't disallow 
> it doesn't 
> > make it legal, when there is other text that seems to 
> disallow it.  So
> 
> > modifying the footnote this way could be considered a 
> clarification, 
> > rather than a change.
> > 
> > As you note here, the LRM does say elsewhere that the 
> argument names 
> > can be left off of DPI imports.  It specifies that arguments can be 
> > bound by name only if all the formals were named.  And of 
> course DPI 
> > export arguments can't be bound by name in C calls.
> > 
> > Your proposed modification sounds good to me.
> > 
> > Steven Sharp
> > sharp@cadence.com

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jul 30 00:54:33 2007

This archive was generated by hypermail 2.1.8 : Mon Jul 30 2007 - 00:55:59 PDT