RE: [sv-ec] RE: [sv-bc] tf_port_item footnote 33

From: Steven Sharp <sharp_at_.....>
Date: Tue Jul 24 2007 - 16:06:41 PDT
>Do you mean that you'd like to modify Footnote 33 to say "except within
>a dpi_import_export"?

Is that really the only place that would need to be modified?  That does
support the idea that there was no intent that the names could be left
off for Verilog task/function prototypes.  As you said, the fact that
this footnote doesn't disallow it doesn't make it legal, when there is
other text that seems to disallow it.  So modifying the footnote this
way could be considered a clarification, rather than a change.

As you note here, the LRM does say elsewhere that the argument names can
be left off of DPI imports.  It specifies that arguments can be bound
by name only if all the formals were named.  And of course DPI export
arguments can't be bound by name in C calls.

Your proposed modification sounds good to me.

Steven Sharp
sharp@cadence.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jul 24 16:07:29 2007

This archive was generated by hypermail 2.1.8 : Tue Jul 24 2007 - 16:07:37 PDT