RE: [sv-bc] RE: [sv-ec] Inconsistencies in virtual interfaces and modports

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Tue Jul 24 2007 - 13:52:03 PDT
>That is the only synthesizable interpretation we have for such a
reference.

There's no problem supporting 'ref' semantics when there's known to be
only a single driver of the variable, because in that case
last-write-wins reduces to single-write-wins.

If an effect of imposing 'output' on a modport variable were to require
that there be only a single driver for the interface variable, then the
task example would be synthesizable under either viewpoint.

A related e-mail is http://www.eda-stds.org/sv-bc/hm/6329.html .

-- Brad


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jul 24 13:52:42 2007

This archive was generated by hypermail 2.1.8 : Tue Jul 24 2007 - 13:53:06 PDT