[sv-bc] Is hierarchical reference allowed through modport

From: Surya Pratik Saha <spsaha_at_.....>
Date: Wed Jul 18 2007 - 07:08:35 PDT
Hi,
Is hierarchical reference allowed through modport? Is the following case 
valid?
interface mem_pins_if;
bit       clk, rst;

  modport master_mp( input    clk, rst);

endinterface : mem_pins_if
module top;
 mem_pins_if pins_if();

task run;
forever begin
      @( posedge pins_if.master_mp.clk ); // hierarchical reference 
through modport

end
endtask

LRM is not clear on that.

-- 
Regards
Surya





-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Jul 18 12:33:29 2007

This archive was generated by hypermail 2.1.8 : Wed Jul 18 2007 - 12:34:13 PDT