[sv-bc] Query Regarding Coverpoints

From: Sandeep Dasgupta <sandeep_at_.....>
Date: Tue Jul 17 2007 - 08:02:35 PDT
Hi,

I have the following query regarding coverpoints,

Consider the test case as is given in IEEE Std 1800-2005, section  18.4,
covergroup gc (ref int ra, int low, int high ) @(posedge clk);
    coverpoint ra // sample variable passed by reference
  {
       bins good = { [low : high] };
       bins bad[] = default;
  }
  endgroup

Now as per section 18.4  "Defining coverage points",
A coverage point creates a hierarchical scope and can be optionally 
labeled. If the label is specified, then it
designates the name of the coverage point. This name can be used to add 
this coverage point to a cross coverage
specification or to access the methods of the coverage point. If the 
label is omitted and the coverage
point is associated with a single variable, then the variable name 
becomes the name of the coverage point.

So as per the above specification, the coverpoint used in the example 
above should be labeled with
the name of the single variable  associated with  the coverpoint, i.e. ra.
Also the covergroup gc contain a variable with the same name, ref int ra.
So is it possible for a scope (in this case a  covergroup scope) to  
have two objects with same name(in this case ra )?
Please give your suggestions.


Thanks and Regards,
Sandeep Dasgupta.









-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jul 17 08:17:50 2007

This archive was generated by hypermail 2.1.8 : Tue Jul 17 2007 - 08:19:51 PDT