RE: [sv-bc] Mantis 1602: task/function default inout arguments

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Jul 17 2007 - 04:55:59 PDT
We don't? 

> For example, we don't expect 
> modules to act the same way as subprograms when their formals 
> and actuals have differing vector widths.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jul 17 04:56:24 2007

This archive was generated by hypermail 2.1.8 : Tue Jul 17 2007 - 04:56:36 PDT