RE: [sv-bc] D3a 11.2: operands

From: Feldman, Yulik <yulik.feldman_at_.....>
Date: Thu Jun 21 2007 - 03:05:12 PDT
  

I would also change the term "operand" to some other term (like "leaf
expression", for example). Outside of Verilog world, this term is
usually used to describe the immediate arguments of operators, which is
different from its semantics in Verilog. Having this unusual meaning in
Verilog is very confusing. See http://en.wikipedia.org/wiki/Operand as a
reference to the "usual" meaning. 

--Yulik.

________________________________

From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On
Behalf Of Bresticker, Shalom
Sent: Thursday, June 21, 2007 10:28 AM
To: stuart@sutherland-hdl.com
Cc: sv-bc@server.eda.org
Subject: [sv-bc] D3a 11.2: operands

 

Hi,

11.2 says,

An operand can be one of the following:

- Constant literal number, including real literals

- Literal string

- Parameter, including local and specify parameters

- Parameter bit-select or part-select, including local and specify
parameters

- Any net type (see 6.6)

- Net bit-select or part-select

- Any net type (see 6.7)

- Variable bit-select or part-select

- Structure, packed or unpacked

- Structure member

- Packed structure bit-select or part-select

- Union, packed, unpacked or tagged

- Union member

- Packed union bit-select or part-select

- Packed array

- Packed array, structure or union bit-select, part-select, element or
slice

- Unpacked array

- Unpacked array element bit-select or part-select, element or slice

- A call to a user-defined function, system-defined function or method
that returns any of the above

There seems to be redundancy here.

"Any net type" appears twice. I think the second should be "Any variable
type", but considering that we mean an object and not a type, it should
probably be simply "Variable", as the next item is "Variable bit-select
or part-select".

"Packed structure bit-select or part-select" and "Packed union
bit-select or part-select" are also included under "Packed array,
structure or union bit-select, part-select, element or slice".

The list could probably be made more compact as:

An operand can be one of the following:

- Constant literal number, including real literals

- Literal string

- Parameter, including local and specify parameters

- Parameter bit-select or part-select, including local and specify
parameters

- Net

- Variable

- Net or variable bit-select or part-select

- Structure, packed or unpacked

- Union, packed, unpacked or tagged

- Structure member

- Union member

- Array, packed or unpacked

- Packed array, structure or union bit-select, part-select, element or
slice

- Unpacked array element bit-select or part-select, element or slice

- A call to a user-defined function, system-defined function or method
that returns any of the above

Shalom Bresticker

Intel Jerusalem LAD DA

+972 2 589-6852

+972 54 721-1033 

 


-- 
This message has been scanned for viruses and 
dangerous content by MailScanner <http://www.mailscanner.info/> , and is

believed to be clean. 

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.



image001.gif
Received on Thu Jun 21 03:06:10 2007

This archive was generated by hypermail 2.1.8 : Thu Jun 21 2007 - 03:08:46 PDT