RE: [sv-bc] statement labels

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Wed Jun 13 2007 - 04:49:21 PDT
  

Sorry. 

Except for the part that 10.7 should be 10.8, please ignore the rest.

I think my eyes are too tired.

"Never mind".

Shalom

________________________________

From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On
Behalf Of Bresticker, Shalom
Sent: Wednesday, June 13, 2007 2:13 PM
To: stuart@sutherland-hdl.com
Cc: sv-bc@server.eda.org
Subject: [sv-bc] statement labels

 

Hi,

In Draft 3, 9.3.5 has the annotation,

"subclause from 1800-2005 10.7".

I think that should be 10.8.

 

More important, I don't see in 9.3.5 or elsewhere in Draft 3, the
following text from 1800-2005 10.8:

"SystemVerilog allows a label to be specified before any statement, as
in C. A statement label is used to identify

a single statement. The label name is specified before the statement,
followed by a colon.

labelA: statement

A begin...end, fork...join, fork...join_any, or fork...join_none block
is considered a statement and

can have a statement label before the block.

labelB: fork // label before the begin or fork

...

join : labelB

It shall be illegal to have both a label before a begin or fork and a
block name after the begin or fork. A

label cannot appear before the end, join, join_any, or join_none, as
these keywords do not form a

statement."

 

Shalom

 

Shalom Bresticker

Intel Jerusalem LAD DA

+972 2 589-6852

+972 54 721-1033 

 


-- 
This message has been scanned for viruses and 
dangerous content by MailScanner <http://www.mailscanner.info/> , and is

believed to be clean. 

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.



image001.gif
Received on Wed Jun 13 04:50:10 2007

This archive was generated by hypermail 2.1.8 : Wed Jun 13 2007 - 04:50:35 PDT