Re: [sv-bc] wildcard package imports, std, $unit

From: Gordon Vreugdenhil <gordonv_at_.....>
Date: Thu May 17 2007 - 07:12:15 PDT
Bresticker, Shalom wrote:
> Hi,
> 
> If I wildcard import two packages which declare the same name, neither 
> is imported.
> 
> What happens if I wildcard import a package which declares a name which 
> is also declared in the std built-in package?

At this point in SV there is no special status for std so this should
be a conflict and work in the same manner as normal.  Hopefully a tool
would tell you about this and you could choose to use a package prefix
reference to resolve to what you want.

> 
> What happens if I wildcard import a package which declares a name which 
> is also declared in $unit?

$unit is "kind of sort of" like a package, however its names are
found lexically, not via import.  So if there is a lexically closer
import, that wins.  Example:
    package p;
       int x;
    endpackage

    int x;

    module m;
       import p::*;
       int y = x;    // means p::x
    endmodule

This is exactly the same reason that one would use in the following:

    module m;
      int x;
      task t;
         import p::*;
         int y = x;    // means p::x
      endtask
    endmodule

Gord.

> 
> Thanks,
> 
> Shalom
> 
> Shalom Bresticker
> 
> Intel Jerusalem LAD DA
> 
> +972 2 589-6852
> 
> +972 54 721-1033 
> 
>  
> 
> 
> -- 
> This message has been scanned for viruses and
> dangerous content by *MailScanner* <http://www.mailscanner.info/>, and is
> believed to be clean.

-- 
--------------------------------------------------------------------
Gordon Vreugdenhil                                503-685-0808
Model Technology (Mentor Graphics)                gordonv@model.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu May 17 07:12:30 2007

This archive was generated by hypermail 2.1.8 : Thu May 17 2007 - 07:12:41 PDT