[sv-bc] Interface name in virtual interface declaration

From: Surya Pratik Saha <spsaha_at_.....>
Date: Wed Apr 18 2007 - 05:09:48 PDT
Hi,
As per LRM, the BNF of virtual interface declaration is:

*virtual_interface_declaration ::= virtual [ interface ] 
interface_identifier list_of_virtual_interface_decl ;

list_of_virtual_interface_decl ::= variable_identifier [ = 
interface_instance_identifier ] { , variable_identifier [ = 
interface_instance_identifier ] }

data_declaration ::= ... | virtual_interface_declaration

data_type ::= ... | virtual [ interface ] interface_identifier

*So, as per BNF, the interface identifier used in virtual interface 
declaration has to be visible, i.e. the interface has to be declared 
before the use inside virtual interface declaration. But MTI-Vlog is 
treating it as hierarchical reference of interface identifier or 
interface instance identifier. So following case:

*module m;
virtual interface b.t ij;
bot b();
endmodule
interface int_ii;
endinterface

module bot;
    int_ii t();
endmodule*

is passed by MTI, wheras this is against the LRM. Please let me know 
your comments.

-- 
Regards
Surya





-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Apr 18 05:10:19 2007

This archive was generated by hypermail 2.1.8 : Wed Apr 18 2007 - 05:10:25 PDT